From c949c2d7e628520737840dd37edb98c19bfd43d3 Mon Sep 17 00:00:00 2001 From: Art Cancro Date: Fri, 3 Aug 2007 15:49:36 +0000 Subject: [PATCH] WebCit 7.13 --- citadel/ical_dezonify.c | 25 ++++++++++++------------- citadel/tools.c | 4 ++-- webcit/configure.ac | 2 +- webcit/ical_dezonify.c | 24 ++++++++++++------------ webcit/static/gradient_background.jpg | Bin 0 -> 124245 bytes webcit/static/webcit.css | 1 + webcit/webcit.h | 4 ++-- 7 files changed, 30 insertions(+), 30 deletions(-) create mode 100644 webcit/static/gradient_background.jpg diff --git a/citadel/ical_dezonify.c b/citadel/ical_dezonify.c index ce448a84e..4a7de0715 100644 --- a/citadel/ical_dezonify.c +++ b/citadel/ical_dezonify.c @@ -75,16 +75,16 @@ void ical_dezonify_backend(icalcomponent *cal, /* Convert it to an icaltimezone type. */ if (tzid != NULL) { - lprintf(9, " * Stringy supplied timezone is: '%s'\n", tzid); + /* lprintf(9, " * Stringy supplied timezone is: '%s'\n", tzid); */ if ( (!strcasecmp(tzid, "UTC")) || (!strcasecmp(tzid, "GMT")) ) { utc_declared_as_tzid = 1; - lprintf(9, " * ...and we handle that internally.\n"); + /* lprintf(9, " * ...and we handle that internally.\n"); */ } else { t = icalcomponent_get_timezone(cal, tzid); - lprintf(9, " * ...and I %s have tzdata for that zone.\n", + /* lprintf(9, " * ...and I %s have tzdata for that zone.\n", (t ? "DO" : "DO NOT") - ); + ); */ } } @@ -108,24 +108,24 @@ void ical_dezonify_backend(icalcomponent *cal, return; } - lprintf(9, " * Was: %s\n", icaltime_as_ical_string(TheTime)); + /* lprintf(9, " * Was: %s\n", icaltime_as_ical_string(TheTime)); */ if (TheTime.is_utc) { - lprintf(9, " * This property is ALREADY UTC.\n"); + /* lprintf(9, " * This property is ALREADY UTC.\n"); */ } else if (utc_declared_as_tzid) { - lprintf(9, " * Replacing '%s' TZID with 'Z' suffix.\n", tzid); + /* lprintf(9, " * Replacing '%s' TZID with 'Z' suffix.\n", tzid); */ TheTime.is_utc = 1; } else { /* Do the conversion. */ if (t != NULL) { - lprintf(9, " * Timezone prop found. Converting to UTC.\n"); + /* lprintf(9, " * Timezone prop found. Converting to UTC.\n"); */ } else { - lprintf(9, " * Converting default timezone to UTC.\n"); + /* lprintf(9, " * Converting default timezone to UTC.\n"); */ } if (t == NULL) { @@ -140,7 +140,7 @@ void ical_dezonify_backend(icalcomponent *cal, } icalproperty_remove_parameter_by_kind(prop, ICAL_TZID_PARAMETER); - lprintf(9, " * Now: %s\n", icaltime_as_ical_string(TheTime)); + /* lprintf(9, " * Now: %s\n", icaltime_as_ical_string(TheTime)); */ /* Now add the converted property back in. */ if (icalproperty_isa(prop) == ICAL_DTSTART_PROPERTY) { @@ -206,7 +206,7 @@ void ical_dezonify_recurse(icalcomponent *cal, icalcomponent *rcal) { void ical_dezonify(icalcomponent *cal) { icalcomponent *vt = NULL; - lprintf(9, "ical_dezonify() started\n"); + /* lprintf(9, "ical_dezonify() started\n"); */ /* Convert all times to UTC */ ical_dezonify_recurse(cal, cal); @@ -218,8 +218,7 @@ void ical_dezonify(icalcomponent *cal) { icalcomponent_free(vt); } - lprintf(9, "ical_dezonify() completed\n"); + /* lprintf(9, "ical_dezonify() completed\n"); */ } - #endif /* CITADEL_WITH_CALENDAR_SERVICE */ diff --git a/citadel/tools.c b/citadel/tools.c index 5c457de3b..5f225ccbd 100644 --- a/citadel/tools.c +++ b/citadel/tools.c @@ -82,10 +82,10 @@ int strncasecmp(char *lstr, char *rstr, int len) /* * num_tokens() - discover number of parameters/tokens in a string */ -int num_tokens(char *source, char tok) +int num_tokens(const char *source, char tok) { int count = 1; - char *ptr = source; + const char *ptr = source; if (source == NULL) { return (0); diff --git a/webcit/configure.ac b/webcit/configure.ac index a7738d784..ce25377fa 100644 --- a/webcit/configure.ac +++ b/webcit/configure.ac @@ -4,7 +4,7 @@ AC_INIT(webserver.c) PACKAGE=webcit -VERSION=7.12 +VERSION=7.13 AC_DEFINE_UNQUOTED(PACKAGE, "$PACKAGE") AC_DEFINE_UNQUOTED(VERSION, "$VERSION") AC_SUBST(PACKAGE) diff --git a/webcit/ical_dezonify.c b/webcit/ical_dezonify.c index eae5c9742..aa21dfe95 100644 --- a/webcit/ical_dezonify.c +++ b/webcit/ical_dezonify.c @@ -70,16 +70,16 @@ void ical_dezonify_backend(icalcomponent *cal, /* Convert it to an icaltimezone type. */ if (tzid != NULL) { - lprintf(9, " * Stringy supplied timezone is: '%s'\n", tzid); + /* lprintf(9, " * Stringy supplied timezone is: '%s'\n", tzid); */ if ( (!strcasecmp(tzid, "UTC")) || (!strcasecmp(tzid, "GMT")) ) { utc_declared_as_tzid = 1; - lprintf(9, " * ...and we handle that internally.\n"); + /* lprintf(9, " * ...and we handle that internally.\n"); */ } else { t = icalcomponent_get_timezone(cal, tzid); - lprintf(9, " * ...and I %s have tzdata for that zone.\n", + /* lprintf(9, " * ...and I %s have tzdata for that zone.\n", (t ? "DO" : "DO NOT") - ); + ); */ } } @@ -103,24 +103,24 @@ void ical_dezonify_backend(icalcomponent *cal, return; } - lprintf(9, " * Was: %s\n", icaltime_as_ical_string(TheTime)); + /* lprintf(9, " * Was: %s\n", icaltime_as_ical_string(TheTime)); */ if (TheTime.is_utc) { - lprintf(9, " * This property is ALREADY UTC.\n"); + /* lprintf(9, " * This property is ALREADY UTC.\n"); */ } else if (utc_declared_as_tzid) { - lprintf(9, " * Replacing '%s' TZID with 'Z' suffix.\n", tzid); + /* lprintf(9, " * Replacing '%s' TZID with 'Z' suffix.\n", tzid); */ TheTime.is_utc = 1; } else { /* Do the conversion. */ if (t != NULL) { - lprintf(9, " * Timezone prop found. Converting to UTC.\n"); + /* lprintf(9, " * Timezone prop found. Converting to UTC.\n"); */ } else { - lprintf(9, " * Converting default timezone to UTC.\n"); + /* lprintf(9, " * Converting default timezone to UTC.\n"); */ } if (t == NULL) { @@ -135,7 +135,7 @@ void ical_dezonify_backend(icalcomponent *cal, } icalproperty_remove_parameter_by_kind(prop, ICAL_TZID_PARAMETER); - lprintf(9, " * Now: %s\n", icaltime_as_ical_string(TheTime)); + /* lprintf(9, " * Now: %s\n", icaltime_as_ical_string(TheTime)); */ /* Now add the converted property back in. */ if (icalproperty_isa(prop) == ICAL_DTSTART_PROPERTY) { @@ -201,7 +201,7 @@ void ical_dezonify_recurse(icalcomponent *cal, icalcomponent *rcal) { void ical_dezonify(icalcomponent *cal) { icalcomponent *vt = NULL; - lprintf(9, "ical_dezonify() started\n"); + /* lprintf(9, "ical_dezonify() started\n"); */ /* Convert all times to UTC */ ical_dezonify_recurse(cal, cal); @@ -213,7 +213,7 @@ void ical_dezonify(icalcomponent *cal) { icalcomponent_free(vt); } - lprintf(9, "ical_dezonify() completed\n"); + /* lprintf(9, "ical_dezonify() completed\n"); */ } diff --git a/webcit/static/gradient_background.jpg b/webcit/static/gradient_background.jpg new file mode 100644 index 0000000000000000000000000000000000000000..8b95a8902e9e4b6ac7621cefddaccb31b027fda4 GIT binary patch literal 124245 zcma%jc|4SR`@hIimcy~8v`}OxOB$6BS!Sq|VX{uPAr&T-qhwzbi5O&^k!@6FDoP?w z5g9XS|uzb6afDTE&nAXxBtxXu=7HzgjNfojY7+R3&~gqoFWDa1w4i=tCn90B?+zi z|NjyGpC6I`{`@>#c-1QS6#oD32mil+S$-@eC$ajL*gla}azes#t3>2hEqDFz3xi8V zKf#Aqmam|mCfkSRl*{xMa9HrSFI9SC9)b_r3icuKd_$lA!GlDU$^9b zt%$$fG5G$Q{0^c>^y$yNRu)_(x5fC7b;Pg2>i)U$6}N5Vm$^bxa28=X5ji0% zp=BY}_H!3qco><)a`Z>NX6C8#o4f-~J27Ou^^=)Nj}JehdN_9#?hR=L9<+e z4L$4cUCTm;sMmKM%dz-lYS8I&!=aept*X>QChy?v^z-giRUB$zciWr%Alo+T<`*_I zjzwL{*ojfkZ~sWWjDh3lqLyf!JCmOx{UQdP+}p2S#>l9teEiXQXL9-<{T3sgof6bj z^HaxN5@gV>HCloF&XECHfoclWu=(wratd!C)oNPp`c8KoT$tzBq2{Wpazl@&vt!we zC{NAGf`v>=9dk07EPn3k>?@~TwV~Lgzp6qHGZ(LsSl071Kb~{0&+fuRcfQIKuBuSm z4&T8GWAc7r!P4O5O=_)iaNv3j1|zenbX(-o19ml~^S=vju)-V~`1kh7yVXzbWGA6- ziMts5WYgGKA?RZ_(GM|ByfTIA5?U zVOPPOO!#G>$g7=x9%DqUHTnzPFis`i(6_}auE{B@HVj=tX{p+;TV$xZmp_MO9P9HO zv;P=;U_a3(jd%E;KYvpnD*DHzcc&!=vsGe#`}^Mr>M6|bJSR@Tp_VQ({&*MN^shU2 ztLJM1-JexePYrr!&P?JBKXLAK7F2ha<{#6+$c^NeO`jm_+8*X<7NCohv;XL5MCZfDBmEUaqmP8ET&F&z8lK#Fr*b<}}9hY@M&dusKGVt*jxxXO`Do$jy5YU0)`vZVV(w=FVlHIvQ$M-}qpZj4;cUr)vx z>vuFzIupcepK>lWO`1kxie@DWSLsitBD$=U@(%s(mMbCF~ z)LnYv5=PM_Y#y;!&89}FR6E7NwI0sY`Td?)!$yOl{-A+8rsfVRoO!?f$3XkR^pn!H zW+_#*CKgfEPNU!LR7>;KeqH0acf%L`U#_-flhg6@WxDpgms__FzhLfloPY7M+P$oA zo?w2247ch`1v|*cqpgU5&Z2|ChVny=3nb++?P&RxAEq$FRHD` zE-(2q`AE3Zwsz4gj)9SFg?N)Yk%ySuo9|o(9K4sI{zl}p9pFG?;?2QYuUkyrSNZ`( zZ%+ox#rCAv?}vBQ^A5OR6m+|hPss=po*tWc+{bY4DsluW`76zXejKl zpLlAwvJ?6C+c_#_fFI+I4Zd@kUkyP%$a2tZUU#pn-XM|o%lpjA|8Q3M@#di4PweRT z1#*!cY&rXx+d1~@(2@spWRSJx)pWtOGW`>#2wi5!PX=VY`dTpIKa!xf`*7Fo#$@v0 zk;}cAzm6Wu8&L~6#4gxI8ESTeyIZB?1VcB1u2f7V!PAXWR=(y0#n z+^b>ci`&>(|6vL89JgTGWoJpu;mb~kZhQ1U+E%M_jF^4xw%$lG1(@(;(tQF)^(Ez# z=dZ8Nv>0DMvfKB8xk*qY`}xU)PuQ^8Pt1n&ldVHb7Xn8TySZ?Z@2opRQ-gSd9)0AF z(x*n(k0ah8yS-~Y8}-c86qq!#1s7(nK3wm*Gxqlve|Xed>X=fMygXK}^%ebY&+(Cb zL!6{SsUC?%R)U2O5L7o|JdS^aKuY*z9CviMtT(K&;&}Tvrvd)RlS6~fgyX(93u?X> zd}^HBaqf##Kgpk7s(2>Tz_KCdp7%*?z&C0Txd2d7I{x=W}NyzR|M7q zX)!##M~0T1&x|Yte4APvYk0%;Bn^5?p9vTYcTD4KOg236=EZZD44!`No&$vSO1f5k z1alrnGBj>)WvQ##oFUFx?-?VUMd+z&bWA(9EJSfXWkxM{E$(P~EON`A?EK|dgfcRMcOESMsD~SrbXO(FtR;){J+5CnPZeK$1ooB(#(_C14j_u-DZ9D z`VxA}Q?nRu9oi&zpZ|^c57nA=?65{7WLb{%U&}%VddBe9;f~W777w%bjP_wZxAR4* z;>7?;_!&+$T86%7w?|vD9X!CaPqyW}jY@IV^Q6EU>)~9bmx4oLoH=2VkfYskN?eRg z!k1(WK8!= z>)&RPRsUq&J{$#i5LT$}I&)y(*XAEu&zb`HwLgt}9VQ|FH6|8AWp=Vv5yEIVrYmL4TaoC#pM4_(!$!$?P%>iP6c9daMKQ3dzTk99lLamM{J zrk#=*ws|@`e*9F>(3g|~0{OWe)2a+z!)>$Q4*#M065SR!L*4Vs5j$_Y=gSS}*)g}m z(@_00`^Jf5H9x3!XCgCwd*SwP50iXyklkIY)lV?J+rE8z0orPig50)V?H47(0D{h|Jh|cjY!H3%PraweT@ zEZqJPjh@8!YzBhPxid>v!)2c;sQI87(Dy3mX%Edva>9z#v~=$67c5Llb^Z9mW9flR z1|;zV1hn*SOnb@LQr-t@LBUYjc7zH99fSjE%pJ*aw2N2FwYF{H{x$B_;Z^Xlc>GLb z84qydzJel*^lfxMr+duY-#Wn6#S>%M)NhR`1%ISXXTw#POG_%;XvjHs9F9e|p5W@_ zSclLAAf{|Q;q&Y;?&!Tjw5M4YYlirb&DGGxhh1>JVz*AHD(P#rZ}Z%v76Q>F?O`S@ zS*o=xL=ANnT)eG&!MN|T&@qqC!ICGjaEr;RM0j?8FAF`Xrm(pyH}9_IjT1gLOn9(~ zixLi@0uJ9??D%bjo^H^9eS1@X?N@bx?xHdpT-N`4e5QTaSn|Gq{t+sFz$(U zR8Vh(FmnK#2ZyQm&2MuX5$C|2p<7H%c0U+g79x$r?agMw0+_XuVl<4$E9^Ygb8!L1 zAuQ3pS~aC$SA{#;2W?3#Z?((Ab}tLH8p>wjmV!1>S>v@#qE+HM!H0rl=vTj*x72ZS z(HDnDaBz5y{ixZo$kW+31^bN3@B8=SlAg~{b+H}f5p-vb-VE?-0*ei70!RgQa+0?J^I>+v#)Sp0C2X~eD`n}ff(pqD0 zc%%wuiCCQ8vFd+HYmLqvfLk#_Hj~zia{T;PqhlgGqWC|vKNq{T)2`+HcJwY>4r4?* z;;F0o`F3k=AG&pV5u@Gu9XCDme#0OL!qs8M?ww1G!|7_upqm;0XG+^eZN3@XPjK~e z8FQ1x%R*HL*9QHg58qb73?8}k+Q5f{;X!b(${B;TY1n(W;KYgLf8R0@{q#-jlEQ%9 z%44zDeK{$;Py0qDTsNHCI%Z$ruFNhYiST+kwBc(kx*+rkkXFT;?p8%DGk2cg@yR4& zrI#9BeN`*sHVz8*QZOUKnQzsH{R~v{da+9$4~Ic;tVAg~V!ru@@1?)G|NGFJ{^fi+ z+~56=DHID3Fy3oeFHKDWM@z*2g~gQXdxsk1_O5Wm_RwV^@;$K;#qgd^Ocer>@zd!3 z+P8!3D1v}&5>guB_K}X?QEU<1f3oWF?1FHYrR=L=&H{Sa9WgptP!nrkVChpJfDb&- z=gw|R_Q_l4>)BlNW%MFO&>nXKhNr^O*Okj=Fn5ke59#&PvtPjuwjS_U^p4dQk8$%9 z5#w3MAQ&8{1o!J67Ce`7h{Fo%u6FylsTJCac-;(v%XXYO8V*kaZalThO}3~_aOXvg zM;mu`l#{m3R}PUCl&L$#3jeI3M7cIM6v9`e=`zj5{GZR7zeyD0VU^%JTjKoebzk+H zi}{_J5fNabu*o;$RN}rhT4=$8BVzvjrT3}|C?roSK48!~n)Wzr*TvdLVt$*-lF!de zQV*cVIwJZhk7;%qKB+rxzlC7~Ulb!*$=^)-CF4|l;rhj;x{VYv+6WiS`=4k>aJ}9% zOJv=oGZ!lb_lqpK;mo%mY?N~F^MC#1{8#d}kN(jg45>d!7O(*1srkm@i;C3T@VFI7 zh^9AP8OS)6cx>(Ti*7;eZ4diueKUkae65uG6KKG|GxOhwuMe01%n4!HnDe(!CfgmW zMqfg~4EPTq^Qw+nGPpwb*_L{!NuSl|_+0=h#G;K5fmL_dEBR{Q zx2MJCH5W|pwCT5qgH{nSe!b9qDm7eNGZO_ke0+Agzb0G{)#NCmO*Wq3mh#!9P0sMK zurc9SI-e!{V*2A!{z}A~i2r6@!jS&Y;eETBL!)9sR2_FRr_i%6XZ&GS0g&YE!SwKe zV(fLf1!XuXn*q}Sry=yf%iGqTh%b!f@FVxFccb_d^5CMRo)nvxGS)hh0Dr>9K0}O! z5Ta5vXOHe-AO*P$4w0l1r`Cmw4adwI86-1@a& z(1x-0(NujAr@$Jxe2H#S=&2M~X?Vb;d7ck+>Ag|=wz+bZ>tOGKeCT(3EqVR5f}0{b z3!-$>YjaWFT+Os357#cfmAD>=r9KDU5?}XXdH)zUe&nMhPX4TxY4@y!Za&^NaoTT5 zVufmWW>3}j`rOqA)Fg11K%~IKL#T0%AiPu)$IpL8icTicD}vLXNk)=yg%l*^@l5^h zFh8==s6aQwi#^glDQ)YTxt51%A0WPha|?6|ySr6iksYls;39xXY+GEt(saJKgJ6pw zUU$E|XH(hQd>f%;yS{$+?-kTyGC}euU(tZ|8DDsR)z=VT1Nlij^+7C5^7qzKN54Z< z>UUJ6oo_x_cm(ghU%<@9ll_o30=)$)M>_-Huaa79K6T?--cfW8xeWH0h_TE+@St^8 zl#!_D6b=^gyocrAtVg=|pifT7@fzQP?utY1e}EJ$Z_~cNF4gqMxsCDco=kyi5EbR& zB%WNK9{BY5F-D2p-9V`iSA&H_j3)b+9?JB9Y?#buKo+3hH#L?t=LXkr9X@tJqugGS zTMTDkI`R2%`Q7SS$jee4F^h50;Zni{T;hmz_-Z2Ko(LSVa=rWvNfzzdx>S8DYaz1U zRHo%ofs{-Z+ha(F!BIxM-5o1WcJP=}<7M0Yf&-+Z;-DOYwOi9KL?>~Ksr zV_n89wU+OvbS7%}D(L49>lj>)iHvXE#fK6iq2b0PkRnj0upe5J&mq8=%qm{61e`yr zQQ-Q!G0()A9`lqNRz8q%0+%`6pYf=4YC)1UgRA64;CATY@!Rj-K_Vw{ZX<+I>uxtc zdl~+ZeUG(I7BUXAFFSlzpK$gaIDtO~pnxp;@zmc18aBQ-OhuGR)&{+POcWCe|&I^lQV5UH2B7y-5&nf{aY^ zKce1KZ{Lc}0lweJm>}1h2{nU4&U1DO^DWFTZ4SclvJ+C;Hgh(RXNa%YW{#0?Vc!ed zZ;Umop~ptOzp}r#cK!UB_78eb2?zFN;k)&_2M9vs+b?gez}{8>i()0-5mX)l?kyWs zRic94irk?mAj(ouu9kXIR=;!MtECPP6Ri)$l0g`w3Yod6U0?iM4K#eq3aUcyGtdyEaz#QwHZ8vf@*$rK~^=feFm4ZF223LY#4$J zl|oqjkC8F4lr68DNkG*Y#_Eeu z(K)uLG`w7wb`~9Vrgo35v9BVEqNNkBVBP5L`VDyu6Y=wpHsq$`XiD)+Dez~>^q5GR zq2lQ>~lGAqf$@LhusfEe~wU5*FZH^3Iw}f!zeY}HltG(s)JTs;dOzraAF9%_C%L|r; zu3Nrp)F@{?Evq-5s|na#br@sH17MC=)qOf~QyEmwPf5H|82Tl1q2B zR{WXWZs_`V!DT(2WF=S1p?mwn!TJO1*}Ij!QUHu}-aHgAe7QmH-Xj0$?0IMG%`oTF zp{v+W{a1(L2&T8~n2Q4if|H=_s-D1u0OSRa%2!Ll&o>R9p&cJcr>e#1G4Z>P49_aQqwzGYlHLFZoGJ$(eROX z$I_WJU+wqh8xCtEQ*hQzNoUHweQ!Z>i_x<$-Z6N$Eh_6d{F03n&jW&Jahs3MjkI)* zN{Q2@k{658&de`0aFeacnfYA1MS4Nant2VGz@$l7(c$jWx<)qbN_ z@?tea0H--(98#to#AkSfd)NQF^8>R*Gl zm{+0{MM}SwrS_@g-n%S`NP+@Ky<^Z@99yA6AAa1nF<1gp^VL}kib1lXK+=DYN%h`OoHDO9wXUgs>023n5`E`0yP|U(c z1y8f%VXGS`1>@cuhM-z8lin+-R=e8MEQH&!l~VAL>m3t?3RkF_j#Yx0f0=*#@SV_O z>Ex(`gky;ZIqzyM_JaOGI5GS-+fN=EM38ZYT~>F>Q3$l6@WAG(p7-Mm%bXidZ4 z4ayFI(Rq~iJfl?EEQj#z*@+dIRmwVr4Yo!*=i@_UxwpKxY^#&k58G<8Y5bu}W-Mk0 zKoAm!%4*lj#PZK(AO+5*4|EhJ?N}_xdB&qB(hg?1w`c{$upVp9wcG6n?1kN+1N1fL zP9^UrE2xftr1@J|q*ma}8vtn6frw@6H19msAi;oEu z<$v)J=3DkF4~>T_+a{!phMcwygJR;BchW!^c!vNo-VW4tm^=|$FU3DFQyd*tU_jz) ztskF5s*x-2y?QjGr{V3Vr^oVC4vsE9KYc>g<7g6vaT4oMyBf^a3&dq1AIvKqRj9RI z>*;jj2pGLz9cxd5B~5&NaF~4)6$Wo?GBq33;5_Ig=K!?{(xj7yKN@Xa6CPIpjLdnO z58ZJ=Xr(_2J5$<4?JGbX5Ol6J#_6g?GxH!Jrjz0PBL(9<%R-lJot1djN;rb~tuwX8 z5>F}Ig3bBlJrb^?o|8v9Gze~+bU3--eM*7HJ@>T=p{`DMOzn3s z3-zBXH6cw=*CAlseCxcUp2569E4pG58^o@}h*H<*De2a0CLM1)m&HXetJq7)<4A{H zjed}#iVJ#8P@l5PCDRF`vVG@EwkUfwV}u+edg3UhoLZ51r;fsw2RAIO>; zI3Jc~zq>DeVY5WByGA)LC^zf(J1%`sDc^n>6ms)~@1xpjpR%EQ3}ca z4TH;26J-ZVbo_?DlqEe@p^`Gh)q?^|z>bAc78dB3wUTTx|2_*NnH(TzdtEOte%7v% z4JzU`X}8E~JCcYG@S^T9t8RQjZUXCr=DBv^0j@a z%-Lvl0$&z$?bPv#+uT0d(=gwDS9a{^_krow_QGts^)Prj;EJ}!aT$0U#r30Mp8C!| zw$&~+pKN7s7o^fyCCkGScR~l53Gf+!$T2i-hY5UYF^_Co5Qc~In?4X95C%R9a5Qz@ zny#7p8wk6j>d!M9Rqw)L3*D`sLOlL#$~cxWN{we7sye)A&@B1$G+a=A0<*U62;AFn z*N+@;5^{L%#QljbOmFRFKJ)4@Kv8PfCudK_&FsYT1AV=Jv@*+{RW!z!K5@o$x56VR z3iIt9!5)?l3nfH*k|i_=&^ER9$|XN>3^Q+p>gKP_bTbQY-K*LvGdSEH(<+0+a%;;_ ztmphu8U28i+$*L4YK?Mhui0ATiYq2jm2cN(wW{S{nJq?rVO`D+!dZVes0o7slQ+z6 zna<4|kC1ohnlUpAfy-&dL;X-<`?(_B>`Vw?Wpt0K3Tfn2%6q7>bThU3P1bcykuPaC zOm~p!hp=&L03KJqS$OABXEka0s1WN8122LhArcbW!SdFe9jCgsCQnb*WYd zNq{)|!7R_tkJbJ=p9FXC6mWoo%qlOh>f;tE4nbQvv2f)8li4Vaaq(X1yef{923(Z# zo)G`OKi~cN?lI<&nmATL>At^;Nn)bW8d^a-0H)UNoWu#3|8hiRZsNoD7A7KnZ-rSH1$bbb?T_l25vFbH z9zQCb98kO~?~0lu2F|VusMs973oA zm?whr?*5SJoZeam&pq3@j%NB@_pC~Yug_E?SNhMIm0E#~Q~G12VE_(IVquf+1{4@x5r8PHH=JuSxE%?JAO)K?nHmDqMNLJIs7D)7zYC(a&2h4xlm zY|d2Rb?f0?{f0Dqy}M>RqOfob32rogJ6J|Z&xXoO`9btf2N{{vlC}DF8CGa?4CCLp)!Rz;AmEH<(rG@7{>5$IIbSJ&8u?Ccc8NHg*s$2 zoO$fV`2C}hdh{<|0Eu*>R9Xn*iMO^6yHRB;6Es%?pXlC5@%PTFx{_zSHgpmy0_|ii*->)}@*R@ZLSv zrZuQ}Ayw65Dbexf#GHl3uapPl06Y(a{9d_)e`b6(2W@#nQ544lcCSXaOcnNjef!Hk z#9UspQcsHRtR`-nF|R#HR%(GF=~U}isQBN?ICPm8quOnHDa2lv8M$9WCTJirPl(1* z%9#EDm*skmW3;pQea|IwW$Vv{I&;%-^^X+gP*}JraszCPJ`}?`nuP>~9T;8OAwbua zUxo$_UD&9#DrQfOxGpcs^uvWUjPBW|=}hm6j#*q4!-CEUYje>Y=Fa^73ZfoVU%X0_ zZ$iOkA&dvq0jNKTeAkLGR81(Uh{H{NG6uKU5n@3lQU6_4X>A(p3 z46UeQM4kY6Ens?_J@E=SOmw9|g;WPPKT#v7h{yzDL*0$|!l>Dxw_>RFbejjmBIJ0` z$UTd_1&3CC_cS_V3r zRfsWr)>wV3xMFpLdA73>LZ+gzAt%JY-*EPQ#}w2ba11qWZxZpvmK(WAKMX}HaI|6Q zWH9G#M0wa84VgH%*>`?w+O750wumw|^uNds!LkPVIG^s@Rs4=6Le2jV0=|jB zlN-+ww|N2gf*h7!M;uLzH38g4?gPgsgQuO@9X0eaXv1g#&qS76d^E(MpnWfV^I3D3 z{Bm(;je5-t)zLjT6Pb&eJ%c@kkTvT8yW0&odn7u(S)|z`#)_2?sjwq_PS+z7hx^}3b#{6VcWQCt8Dza<@u4TAzz-{H5*FgQg)1S@2|BfQ#@@BJ1YXm%OBhe3Ur8V# ziLH*6I~|_#^8*2J)cf~Y!u_rK05?I)8ToT!mz2T>L+FCmEYvxFNIk|ZE(^JbgAD#p z`xg9}B@+0tslS;I>j*}}z{mm97krVKpx0}mZww48n}u)cVuY+>_roI}sh(9DmDN!E zJXtIP8oqg<&U-sX2AxBFyP;Exe}CC%yb!sWriXXm*N4CDomUM97S}P9abc+e#}`M_ zMjXcqArV7$0uP9=1V+qf?-d*E+9f?6RG3a5YjlXr@pV&$0PfYRSj~6APjR*rotp8= zV?bhSXWyWB0zXSAQzKoT7)|;j^UxEbZyxT_qmQyCB5_41jshU#K*p9!ToyVrz8ZQA zRz9nVpxPMVwL!7zhJ8}>=)X)#y<=)zJRarhq*n+y_L|jyVnqMw*l#3>xqsUm2(cN8 zpf#Q0ZOXOgMHii&3=RO?>}JDqUfL_XEa4chp~WzKO1O&gNoB8=tcFM&<>F00we58J z!FXU-iao?uqOo-C-8$AmpM1e3ck~n{4Gp+wUd6N=wIxi<?XtGddf6)6Q) zPFblJHb$!2%LjKx+KZagkFvBG&zQXi`2#o&u?T4mnPA=oLlP4}KwO_OxC8^(GLwTCQpCuOQ}sX-*CMK%Kj!`grkt_vEJJQ z&P3MJ4uQ=a^7RK6Al_8py(1=cVfV$}Wue@Ut`%0!oeS5vN~sD5pdJ!sQ=qWX*VU@e zz3>}h2xh^i`hIA^Bc^dPK_Dogi9V=tqmsPMYNI~9xom|E&=C59t2hXt2we(e+|%my z^d^C=U;AiXn&(}5%n@+=U|QrL;jfe;u;+?hTmagFQH5lIvs^F$oI>O3gDIed<_lI6 z+a7ZKAc4I=PW@D<>%lBMGg{YHc3CJooI#LjC`bb2sRW75@Ku0%U?L!^ySJ6_?BbE9 zgsGf9>A$8UzJgW-cMsgd)^Bpa{3PU=@9T4aX!}>6P3RY>LDf7V`QCedE}iCg3M@MS zFa!fIU*@%}VA-DU;L4CuqNjutkX92mip8fPZ_()G;Rw-&yI0bqxm?n_S1~5Clwc}2fnE#^$d3SowSScgoI}V>5T9PS6#t!FlQ*t; zZY6&{qBP>j4MS?7gw5`SsVoHXoW3{;|HZ38@o%^tZ=O4uRlg32YdZ6V9kHszYBUQkU z%pOaeAkSX~GB#m@#5yWUM~NN;43MT9=|;ZHb*Cj8BcI`MF+a5_aE^Wo4|LdEWY88I zOZKgRrbv1{idiqdpDDO0(#9){;4lNXn zWL4KoxZTuxJwu<_8_Wg6!w=j-2f>k_q`YXV)g0pb)F{amKAh0C5iC~7G zn(=;-?YeIY0ufA=xhB~VB-K#hft=7wInIqxPV;GY!`o(rhI?TA%I`L_vNp-1to+3} zgZCka%s_YVNbzf{e;l;x z&8heh1vOEQXPW(n;hj%_D*-gE+J!S-!L#oR`>{-Nm8X&pj|ts80jMZN0)8bw&I0{7 zF)#J_b@90DiqQy_46y6h63w|F6YRY^l|9g}sTGJ-TO*lv)3+j(t0}h zJ1PcA+ro}=-bbU`Wq@>z8iJN1;I}9Z2ZTA98TK90*N%Nz8-oTeW!bh&*7Xe~S;T!kCcTQ?twxk%d3{=_ar+lN06?Ze1Zh<%k)US(TU_G&&`7e856 z=TEZLsLyxkjs|xNIuC}!(Xp$EYKxqZBcI)2nriyn4ppBlWM@?_l;A2s<<(93_J%Gr zZ=v!yiTQlZlBNpN-Y;I~el&+Xy8q2QoAs{vK*;F}ZlMn+*}pf>N9Vcr!~f42B~25F z%qULUqb9}i^=i}K%-1Uq7fWY;dJ`H7t*lxmDvWuU)k@U^FxNz2K<#J_Er&@8rBzNT z&DwMCu@XB5Jsyhj;8=`$asVINOU$j=8MhUlQbI|o3X?UapEO+RS^;8@v}>u;Zl2(5 zuKf_|dX;WpdI-E@&88;PA-1uWnRy{px*nWzpGGs&EG=mMh~fc9w-vh67c^wTI=|a* zF|Q3dI0`)vh*J+prp))}HDrsA+{DO~0?+qYs;VS$csDVS73#CNK^XGC)BE++d!`3# zT)IV>c@qcBg&AF1iSVcKikUFQ{~fMvBo=@S3}Pf71aNScB&CXv*2& zQ?|fwnVgzXRF<3et$DQJ&47~%k4|4r>u@4K5NC+NK)f8o9cIHHdVPu16R&Byg%=2b2{>i?Zd$f5#!-%pRR1W z8;&oz*!I`yfvGobAH}CGIMRk$>iS^GtXLJ|)?DMr9H8nLpN5wYB!_4;db}I}yG|Bw zTx-%i8$|D(1#sGXdmWba)=RGB;E4a|wB@ zgf{ZfjRq3S&>ihL65a6p5Seb*OE_9Zf)vy-<5AE3Eh8Lk*~^8L1zLtvWmo@r1AYDL~TmqaY|rb+^uXq!7OxLCLx3 zR3KGZqq3q=Se`CcjM9-sSr#a3IQPTM9?hir*I|ao|OPR>6}`@wZ3^^jCBC5OitUDvg3N?uCql zFzN{@fGiiHrhFJ!RrU8Y&Ut4EYWC+4A0u7UXsd8|$}@Hn5nBA_OVZ8%Pnpdd`3x)h=W z&g*Nxoc?gw*t`B}G}y4kTGlEXNeq398dES!lKhs1UdMrSC^(g>I~{?eDLl6W4+NsE z8}3SKoCzt}Wf=SwBpb|&;!S&7#fCWQ{~_oH7Y1g8jdlj}HcQtsx@kv!-R~Dm4wkHU zW>F#utGUF!ikOF3bL~V6#MN(wXDyb6tp4EES3kEK42q%H|C0XLUTg+R*3fVx2H1k0 zId9=Y5V7}xP?o0zcx$>P&jzhmW}hy8A0zLNW>r4;3d+eq3-5I)-Lql2=7xmUjW3kM7Beisrcj5^@1TiH~P!zF*}H#1F4XbFvScFc_krDr^9gv4RA6xJKwW-1X} zuecHsqOi&C+3h1rn$LnZbWIkn24J&Sgohjm6V0EMott9%tv)LX?bRTl{eQ5%f<%Fl zg`9<=y>3t^-Gb?(LdH=xm@gWlp8-6{=7mR&qOvn-98}5{)91hGZ*PITd<0ditiD}8 zaAo3}P=-Q`01zuw_UZyxznv^Azl2fX)_YX~rP>(9x@?A6g{cAUm(0asH^o668s_ zL5Ije>!Dw(YQOrejI#|?g+(q~0OF?5cm>}L2kec!jzA0OPhd^6b_I)X^}`u4N{W;Z zq^EQn1)+NK)&xutbhAo|BoCxt8#k;swmR6RB{qzJJB_0mq$*56je5Fuw~6-c3!W)Y zW7=q1Qx~jEOV-Ewx|$@0E^I2Bweax?`{U&by1l&BmqMq!>T_+=Z4`C%*YlL)a%4(7n;34S9-mX%ti{nQ4Y{Ap<4)++apc-Gass%>CH@PfKk>t z-L9}SpSDR_j;I;zWm|mMOpAKdTa|RH%@t%yrKQs|e*k$dIRnX*Hm7i0wc-G1-X`Zv zg*IOWj=#zc(%ohh9D({eLsv1{F$@qlOTpTA`SxbdfX37(4iZT9psq+NC0M3;L4J=^ zeYIDnLKYnC@N~WeV5&0ZrvDcsGj|YgPbrq@d`JV}NwsfN-s+*9(e+m9@v_i;h^hKq z;!T4=bGcNjI@keYtN)n{`WR>!#Z1zx7-$c~fH$IZ)6fQKRn#P1vE=M3>G{2=m1T>4 zJYx!zEKrr*3T^e+1@0$zTv{2?g6EH}yO! zLd7ZJl8yzL)F_SvIDqkm!0VmtQbE4p20(>GKy@&8sH z{>otzk6+X0lxw@q3!At5G|6lA)bn0Iu}2BiU(>(Cpp@p81`fI^ig`FRP+uS{_{02j zMZ#cb3Ims{P_rubM|;^5(1!tOzGqYi?NL~OTfi8fj;Dp?Ypu3G38;9 z@Qxio=qqw%Eh(4Wq-n>wHyvo<>+XO1!nzJRKW^R9e*U>1d5iV^y2b0ft9Q_IA@*h5gM6FQoG-jWucPa$a^~t2|(UZ+-!)*UClm(m*q}SguMADuG z@m7$(5+pZrPUC#k7Z^jsASOw7p?&7XAHdrHmajv~$T+^paSP``-?!)@Y|LR0NP>zy zXj9h2WR9YjM|~xL8*#ftV0|?t1FX9yz(MnEg7Mm^8~Mr_G(t7>R0Y};zT8z~=|@u_ zYoRXs=^FZ-!hM~%v>j@*>-8XBz=-K#N7`>Qr^gVmjB$hfp6{f>y~vkVNj5K<0=VhU z^EH&876~~0;VZcXn@Qn zvK>-C;}IaQIiO5P5CVDNVllx-lzNH2aFMf36gIPZ01|^(Zqi#BJPbb2lwdL51GAp* z%2o5g7K^ni8@H z4f%AmRl9QvhTx$_wd%cI#VwY*VA&703}hM$$Rdu{p0(n?TMoZpDB7bu{Ju!>P17bY z4>kIhh49RQq#u@}58qokPvdalh6%LKQMTbEP*#gXcad~XRxtZwLE3Gka+DcvMlxSH ziO{Vg-i{hc0n#zrER*9QfWKO>F7!>#nY&!sw~_!P(V)tx^47olw8VM_h4#N%$qI(P zX)wW8izW~hvXs_+vX!lUq$s|h>}KTCE^7i#A_aMX@KJkEOwg*GPe4&g3dU6afo2)* z>lj8KjRG97(@?CvQFO_*Dpub#VNi{Vm-zjmUC;L*6WrHJ+O_rj57Nz2jziwJP4i)J z@V4o-Ofs}+>2?Fo!{5veI%mI_nPHtm!>QH1_gfXapAmNBQaWcRLqp?l<3LpzpH578 z2r*V~W_H1e#K;Qk-%*f^-xAVsfk3ME-{pes(rKA~0$1sN|33;FdU36IS-3}nYA%_* zVoZbc*Lk88wLt{j_Rx;qG6h~-&TA8qn2T8gS`0HmJPdt7aWJn5Bz9@ts1CRy|MJ-a z1}F+2b6O#DrC}oQ(C0MPfdj;j!mB6r@n702j%$9gC%R$Vx7El~T2@*(ag%_=o%6Ig zn0$yjN-l)4M0^^wE;-0hNt!HDx)_RV!CaVb97w!s46Y!eSOK$_*pdnrINHefYnnjH zDXwr`s^QR&b8>0nF3=oKBDSr$8V%)=dF&RI8?l`5wSjf5VFDjTYaSKBOEpu_O>!aS zF#dDAT&f<*YFZS8`0Z$CfbSKPMfBQ1&8IF6S#DgN!Xg@-T=KL3g?M7_u#si+smJAN zYXff*@Zal*U{gM`|7GjgSGoAt#Ro5$YZ46dW~Q6!yOxEv#O%%se(aNa9*tMVRcp^5 zojn(1ePP{_9skSxLa``+SMU@J8O4<|EVQq^=gfdO%a6`_7U+x52;iU3kBUMWU@!tr z7XDu;0{V8fX6E^TOEqN}IYH{tk09=V(t!h{18Z=u0k$YtC>h8lsc^SAPeEr%FjUh035{iwlG0M(`97@ZD>De zB$K410bYrEQL33>h6S~SSupMY!wy_RNjcVOLkA$9Zz9Ihbx?PJp;=qF{z(F>81=lp zG(>B!MhgbHGOdX#0|$^hz6Y6dVm&gcFsBHEUXEi6&1nymhrmo)Z8w znk?XnFZO@kgQjzl-v`0aEa=RT7~MtC7mbXi*h`IJcA5N2f#SfiAI3^Xf}tuL2R)7? z49TUN4Q9CSe968n>B%`+ahsCCFenbV0j01M6CEi_f40K=*LeVF-YhoAF{xHJTy1D#V3Nqu=GgaRfyx zI}MS(S2i3Mjv;ho`<_vG1K+`QM3I*M6w=rcZ>>o|-zZ^WPx>u^ow=GA@h_`kWyg8G zAwDHwm+yhDm&(vj`p@R;6W+=}0m{SUak&>Za{L~Ze&oR*6E~R`@$WJogh!xvbl&$+ zULWLuC7Si*#_PKqmhg`Gk%mpARJk{x;h@1Ps08K^I-nNF%!jx@X#Q@G>fguesO7?| zCbm@|H(Xd~N)+jQ6+_>Q%Tl&&!jKnaGQk*xR=K~0xl88IN**wfgMz~031x$d7>f&e z#153wq!=u)kmkJ^=8rmlvxCvs#m8`TMv&wvqEEpu@hXNPH26Ch_8ShsPyigIT`2Bb zh<-t@swwo@F8Lh-spXU8W;9uGDO{SMQJI_Y57;s>l!FBI!Y0@IH2;BqTb4N_2#Dg) zmc7`=DRYF?IQXy;j}+d;YEI~&vVHxvtlzL@x?P5zk2Y1#Y8I2kzwM&MtmFlkEl^R_ z0_mIQrXPL&{h+r>Xko+7Cq_HL9%Ss$J3NaFL(ONA_FK9B2YVEhLqF0wje^BBk)63qWn{IQjVFfIZcJdu|kjzguOzyL?>ailS5++avk z5wP?`DFr<6GH`Fs(;#?uWJoe0!h%qQX$V8PYY9;R1Mkob)qExw|L_N>{~A+$q^ZQO zv;etoXr6s%zlFK0g5>**X1FQpCk1zF$X{vcmvo#rjgKzcEEw+$erzvZbeFG`XZUR2 z18g2cL;SY6OETn?;!{q3YHJEIX>F6P*HG_NA!}FA93%&;VOW3<+I`8-r=)pyT)AR0 zj;e3^dB-A*!}RqiVmCscRqFBI{rAd2$`oW)gX}>JuD);2 zM%&Fo!;S&%B4p9n_1fzy@6nJ6ErHaT(g1XSq?-uZz0YMYj4+xJ0i!hCqcCh<7y&b{ zh})yHyr2f`280)NG{5_AjNAetnJTu51vNC36AFF1{5vj@QDq=H^|Q7RlnFjbUNDw$ zbEVXPX@XPtA?ZVC@%DQlU;SY>bmI#*x#SAu*S(LBG1L~jw`a$z(BDQlJboQ7SQBdW zH*rI%D&lcg)1si$@b?M@tFX*(GVDZoXpX6_nz(-7&1`{j^%jNL`$u|8>#C1?%|^ze znLK6xm^`qQv`GElng()Lz(VaZbPo+JgyE2I9^3e{35R06I9jFE6T=vO>C+rOWwoCe z;l-aU$XQ(2_s#5F<}@c3I;cO8Tj@1Reh1^q9$*{62oP_;N|fn{nXY*VZEUkM198GctqAb7gBO%AJ~(@VslXR#_j^0Py!M4 zu|lO`Topn2eGkllP8Nc;{YmLX5J2g_gLVeUBM&hpH_<#6ji!WGkaoQ36cuC@L9hVx z#z+7*-*k(P6>0fHy!5bw#Eb5|cMu^J{9}-()hn0z`;A~I8$9p5(~#w18Twk2u}K2% zUul?1beaFVY&yAmaX~v1(e+BhX|*3>=)>%b7a)SmCbvM(16Q_6MQw}$Q)I_pwi@(7 z#S?>~6PW(hS1MRW!3#F9J7VoZDsKif%R77V=(y_5(&;OFj$ofT1x>)1O=aJ#pw-p& z{JSiyBg&7(E!ptD?CiMki&be2yod6x$d2QggCful;sIWeS{8D%fg$Ugk5c7-$jn-3 z9wKXQfJW!DFvhtcTOS`%2;$9m=osVZ#867U`|;Etm>l! z4xJ!?=bEy9KYOviZ(~I&nlCD;X3BYD=5pEssroO3)oMJY_Wv2_^1@`Ud23z41fCo5s%7 zb_s>_K?v~Eeu@y^)}ioT(hKP9R&((C(g=D_Bs!X8pV^y$(<_5I(|OusFd+@YHaLsS zX*UuhF(GglgjH@7m{6L11{=?4_&r^^0)HYQ1l;(@{t9c)zT&C z1i;%>Wz$LWdnCHYVNOQ8=+SD}z}pNwjpT|Mc9T406|tF9kD z2s#4vJe`SypS}3wa#u{!*%vbf)$U44Ir4kU^u%Q;+(-5)s9_I~;B6V@@AjC{Jr*f| z=1PnPL-1M+I6uKq5v%0InxjeCDrM;XU=hzNJHcBy4yfAr#YkVIT1g;hW{|7&V?Wew zN7FtlSG%D$-s3)z_QGU%W0zQz*#7iyG2nJolRvo7jgkpuF7*x;s@=5VMQ!K-=75ih z%&9XdUEC79>uF~q^yTA^{emcYH86QgL*%S44Cz;h0{B@!7lFA}LGCCI+QLeJw>9M) zI$pEkTo;CP;DtT)+{6b5`$QhHZo)eu_fezu9W*C*K4xq#Z(9>zxL0eHihL}*6H2O< zG|~_|ecFCC2SP@ZLlW-eYluCxy>itUMjc|Vy>bgp1ykxdwz~;U_m~ckVSEoqfy_ri zgZ=$PX5K_r90U#d5p&{)TzVHomnrOLD38m@(gG*S$l~9rrU@k2%M)-c`|7K`D0$*i zF_~x|vXu^o&H}lp0eOwStI|{8kcEpw_SHT7d0~Bk|2w1>Y zCMY8#H#nOl3qr^O&K5)GKpg``M*Ia$R-25LdaC%)fD6PL;HHXuVosU!;0LZEro@%M zeZBl1I5mXag^ij>VC`NODx3BG>T6pNE(zkum2U~T;)0~h+uBr_L2!knGpE1)fPjJ; zV3G2j<9y@jdoH#{209X+^J>+L9T$9bJE2Q@0_V1Ak`g|ruT4A3Cc!{M{vuRwc=gd4 zQPGn9z;~qDd+!*uBD{kjcntCv>k|nRDMhG*#i)$JJHl&u)syrCB;SEL>&D2HBdAn) z6eZAYnb1%RayXGh#Ca`qEYE*X}&MZ zHbem=9*pTO#H&E!`I)mINNw8-6*iy(-g5CG%3y!2{h5TUPQy^pWW~XQK1qj-&XVH` ziQ!FPd%>?rTxb`jygjov4Z8M6K)ICzTe9FK1qRq9!Q!il@+4}FEKGC)$WnzMTiNktQ2mbP)hqV(ZWe-7wH^kPCJIlE*cX;|Xyz+zDEK z;$4=HCQJvzaL-C0O$TTwr+0a4h{3>xpNoyLxn|612=A?s9MBZynx5Xmq}~7%<@B7F zG!#lcd8D405-d&b3IzQ)gwDP;%l;Z&o-1GWG?ovmBOl5H0;eQ%Is)GL`L<(2ta6pr zGoRAQIl~HHNCX|&3ewm@(Jz&==gKC04=|%+B_Rnxe9SCqsV|hs8&<@8y;ysLlZ?D1 zK~Ovb$Mo&Q*{ZI$6X(6Dmru?7AG+Q>9_qaBAGdM}OJ=@7L?~JiS%66~3_jna8z(xm0)Y(e~-$qsHrRIwh3!|C*QC<)nQqthl7VC`Dvj z2a|tzz9Y}udDidMkJ4=wYn=Q@~cMO=H4&oagJhQ&3UEtL^l=Ix;S>j&y@nTdW@LKQX54}0h z=s7JTCZ%V9*Sp;T!`vyi6rV4;N0T(Z*_0L%E^6(+ z3a;?wxY92Gw%~RJbY)2Th_d_XN;y76!%9?nG(;$orh*esk~qT1im7!&oue0R#oGkH zOGXN))<=}V{?W+-c~V$(iz`eGyR!eshhzdwV+EqTAv7jG zBgo!^ZVEAKm0k!^QrfU`5Kb4tUFG_OF=vEK{ ztVqclNIPIz#E-BsRO(eud^uegsUumq(yMmd1lV(L;-sMsYKCq%{NnJoKZ>kxun+C%+(s#Mz@}74;aNzStv(qBeanWl!wfOYZ~f^FVz*|TBx!r0m?QF zkQe(fU=^dYtZL$K(8ALnORA%73{I0{SR&9_;1(W^;vcdnyGlmoGLbKgOP?fmJ?^PW zJlIrKsPZLWxA8ucd>xzGLcWRE$ge#N)~z9I|JlcX&+^k(Z`5j?xSA<)67f8L`gVKN zk73ibD3&{eX1j~q_RczOy{RdECNrIxBQnjMp1NCFy!ECN3N4IR4ORIP;q@glSq45& zT=X-|T~@pZ&vJTyE1Y*zn>*^ezo7Ku)*JobG#(iJ zcr}Pf0H$m=u4=LU6&(F8{|p_~<4qi|b0>`-w1s#>BzVBN4{n+!^v>jV0jZD+MMEZb>CqH3!>ei^fg9rxJ{D!f}p zAw@@mnk`^TlWC2WNWREYvsv>!!!yW6ma8PYbxj7K2FH!y0W|QWDo7i-9mx$M5>3@l~e@a;&3aS2gSIAfEY1aRi%Ue)uxp zE9-hUhVv7zW@_-#$H^F2B>V`TkM%WXQ=0LigW?9aNmCBpQRe@sLeEM$>N6Wv0wQPG zk|{Rk7Kf){J#s7gTT=Yf$=2kV8B^|nZOp+nfoy6s^*Zxfc9zoOvHt=&MGy2-3Oc+g zO$*}u@F2DG0j4}mJSVZ{%iZ2*2D=t1tkt8owYj=m7`zJQG<2cHvY@^h=Y7c;l^g6_ z;sLxpO{y#XXg-NH@w>W0NBtAiEeuQ=Y4W+5>9Y4R47!#mp%0k3=*YiA0}D1Mt>ILm zQlZ5@AEYb~clW!G;b4`QFLzo-y z8EiaFO0p?F8u0$1__WQMk(0v$pB+c69b*;rf zzuszXmz~?4h9(=(m*4K#cH+gcwwox+wb84+EoLQgnZCSQFt{8-Z(km=}qH}wJ( zt?zZ^Jac;gEBVaW7HZ^TPq9R06mx`64YAQT-O_4XZ}I4{BlHHjm$sP?Lpq=r}_ znjAb8PO)$={|{fxL!-y>-sr83X2jkctk+rQt5PsS4{~(mL5Edx8EuO(t2h7mFn2p> zq5N)Q*|N=B3Csc1NOGCSu`pl#c=vYD^vP9=EL`Z=+UtAJd)TZ+4IZbm6TbpB(X|8> z(nTi)!&CyoR^VP)fqCu;HP5MSfmc2fG{messsZ{CQXF&&YEWK`CK$*dD;i2wTN1lZ z;#Z2|x==ck`Kx_*5Xyquy9O#P-I1SOjvt+s>MZTesd{mEzYnx;zJHi z?smw&37v)aj`egwm4qfgZ10GT>_E1qlW%Rr+MXA-^RHw0vPZ8;tw%*fs>hjb`TU}; zTUH!Cm1Zedn`4Q=F2{areJ3^h^#-Y(rCO9WJx9f`nXE_)hQ)K7{QD3a-3Dem8PF7} zFt$a)=ViXA9+FKnGTc3Xc32%MCyNeDcUc)}haC0p1i7Ytl30zoZIb4Mg7T`J%6>z3 zs+y{FviFap^TdCWu%61btxCWuZ+i;(6@@61zGKnyo=kP9tf>;6%K1(2tV1^B-hXy; z{l4&YMN^A!IFMcU?psR;U9X9jGIIlc&YEMpQ97XXhzckqC(y=ImH8mGtszW9;p<0= zw{;)i|7BiJ?BDLq-a-bYyqHP(m!^5BEoju+(p0R z+sW}Ts=3)u*$vcTRCUtQgrUmzo!32z;m+l6MQlnXNwtXZ5tsoWQRai6CQwB0cTr;s{uEvm0** z#^W`ZMq6!fYgij?s0%Roa*vLtukMcD82w8vu^lDvzq(a) zl-E3gNnKK?6X|gtwRDI^Xma>SeQWL0LM&jXH`mgd8a&YAkYi%{F^2VDbETwo7o8M| zQV+p3)RHK)g4(9KgT5qk=DG1sTug8CsL9bt&UE23iQ-pljKZ4NE!x#s1r4W+jhilNztzU#Ixt#Krp8pCneg z!k3qe?T11c zcc?&$;?^~VxF#HwtM8m~+*l|*G-9TJW};DoHlzkfK}W@F+_u_;>|&c?0j4@l9F>>)7YM;97j3^wm zD2uv4gp)8IT)2c9`wOGesz1h1SL1sxs@l3Og0EE>V*XvwZm&I~oc01jq&AP^ADnlE ze6?$wU34XFML;E8+IAexYyopVbgt@2tc}jaTh3&rs&^~?>C1P%wR1Ydzs#gpJ=uOQ z8^FVPV*A~Co9B(Lk=wp{_8a!@R73&IuDbS2k>p=d>h}Yo~^rW#LtHIvak=FBVI{-X2yY@ihAwhB*$w)kX(;_O%yOtnt!y?|7a7q zR}bzfpPaxg=dhTS8Cx^H4CH7in_oOjcqpERaxw(FY(rq`ROAF=-j zAa-5KsaFXE2pA4&31%fGU6_+LS~lI@mnh|7`rwg5Tj5G~XlJvd*z^y)AR9%X3?&N9 zbPYS(4`^ZLR?YOaF7FKs2pCWb^=at~GXRKdCTukOpW{i10 z{lm=XN+2T|>lkojhTsrhlODK-SUF(dXbCo&{6mhhj03rb_ah**sg!@OoP2ebeWIU? zZ9RtHly-(7ngQ@JKxZ=G%>t;a?{WL4w7ZYkj*F@Zq*jqS5XGF4@(iAOm>uN@pr9!0 z{$W?EA~Y$jo3q$~3Mb7EY6ksGgeJDGOC6WHrYBFuL@a8*LsFO;!m_^kY4I5@q}tg> zPLd-VNzxy8oqvPpJ>8_eTd{HCWHy_gP!$5zrn7WQk0O&QHWDH5oPQ!XI-*fwMC`<>05OC z_%Z(#4UUTeA}H34|;Uz%A{D+2!m045{6#FK{mt;|nCqx(0Z>OS>0vyo{$& zzS#@!47%)&_nLN$w97g>|M&-(BE5~vx1)_5a>NRBkLaqJ_PDIZQ{Szov#vlb`mC9oU|w{JLOopg+q&LUe1-rmu+?e%%Zs(#;_lES<@ol#(n1q7 zMIi97>?B&rcrJQud+uCFHrA7DJ*>8Xvs#uHu7$=F&^^cfo_3Xb8q`n5*>bmoum&J! zb$h67^=hxnLCuP{g978dx_F`AZhyf@)2`(%GM!dFa>zI{woaS7w7zq5EsIBObaQo9 z+sXN!5xEol5a?W17B)4iJe0a*6okl7nj&^(GEd52NfXzgSMv4l{*2|}mKW6HdQpZY zsJ*#O$^r;|i}gIukdUaG!IEPyV(2Q?wE4}=Ks!(dC@cLxa-Pw{^Zc8+%%p6Ew!N>Z zd5>n*VjsnxazsOpV!PUn9M(ozMU~}hg$XR|%p7G)O(T^P4!CZuf#E}k*4-PDs~m@c zO>eIHG7|$w%u#GX<oL8))ibE%|feHwOkXtBC6;lO=nTf z^JxK^i@;S+_C7#>IRt^OVQ|d zsAf_;)nSxEptzOh^hv_hLo|!^vAPrX~pAaU#^L7`ihDPrf}Sa zsXsvx{RYOX06RXqlyjD}^o9SZA6aW&x%hb4^prEQXqsMazvYj;bWtY^>0*fy0lQZ>5B!mUlEZObxb95bJxEsZWj|SS;i`kxLll5<&q-~?gWU>tb{sDN zKRdfs0tRm1@V&T80L3|3X(H{aWxPObyuY<8!~j~sK+0IT(H3Um;;pWiO<;cSCoYME z;Lrybh#nWquHE&sMlfrfgHD-z0;yf55|_LHFG=S={4Mu;dHdqs?>R=|3-(kJy&@cd{3ajfYN*#UfDnpSqWW3}x)*Lch0f?S7_IAN zXGUsTT%GbmeJ8Vzm={$o%i0L|qrwnVAw*7%VJDzO|kCWYSTJ#cj zi=p!iW*dUh1oBIx7H)sPM@L3OIRixu%6CfXeQPKWHH@Io$r376qcn~jC~Elk-Xt3Kp;d$`gT-G-q- zPrsQCcj;R5NxlaJzmARxjNIbZ*s;Ww9*@V(EC;8o@ac|V(lDR`RzwVKR05Jk_2bN&S+?l;KLnqoZO ze5=<<=>0AO>A2D|T^StYAazZ;)V3?gviI7)M(SwtR1#%VS6jU8OhVC0lQhkK$U*s( zk7!HwmIV>c&614%sH`j_h4sGY&UruRH7JfXqT&l6c-1fXO|vf3=nT?Wac>mywm%-o1qWsGtASBR|yZK$%?QFve0JCh@q*7hB)Y zS@2l~ADw&(p1*u{$eL8vR(#e3KtF)Rt#Cqf40hR~s3HAj57_ShVO6S$h%xQk6}ki4 zosFjQuUCmi{$}7FM{U1Scv3=XY)rLnH0^qw#dC{d+r{6MQ-qMQ>zS0UzOm`GL$7+= zf@&#uW#i+=c7vq=N>B3us%5SF(<9lv*{Izw_i;REZ2CRLaaLJavtmPjm2z4u#L2Gd z&D;v~S-L$Ai}7s5>eZ?uZLVWhBvT!AZL{`~8o19;yXysyhJ}E9TU_)M;yu=yG5-}3 zn25IQsOb++pO1#<=%Zl|rpUliHJ63q(C6{}+L)`9T1&KYH;QL`T|H9?U06pmLPhTm ziQE{38Z#Gwg8C`^dRXiQzH5yURk+dEUT`}oc-UrRehX8Ti^3Dw1MXm9H@xtIDU)1F zU)rvt;;E6HQU{$tq7>TPUvipB9-zelw~V_3sWxY7oUH0`&ApoWj&@nn}pZ)Sq?@pcf7|K z+GHd9a+>uU_*P*+;5x7SRni7F3(yef~9WW2HeJV0)|c;yB1V6XQ|4+KMg zmNygaXa&}GgT3_FA^ac0YCcIoj_ltwhgn4P_KbfkLPr~l9@l*Jr&Uqb12?Diq3F!# z9cRlYrz!$P7p4%1tMDp)QP-LnVQj~jL$g~htUr582!jM~->$J2d8Z*NMfnw)=zs5N z@Qq(3*_8>N+eISA93UWbuRKk-khS8E&D07MYo7)o8RBWVt+N7JC1R_t=FZB#@YP!t3J-7TgG$ga8P2Rd~h%ZN7Cbz|r2LF@M4!jMRMs0372lV$mny}Ez9*eO8#w{ z%1740mesiZC2E=tidf&yR6WrMQ}C_^>HOdEpQcaK>a}5g0j7d+2Cg1#Xcy~sRP!O^ zgN}?7vAGtEXX=9CG`ZY1VQRbvn3~wSNTy`r|KuyidkP;B_{Wy|7P}6_yO&}iS(m7H zdMADq%bQ8Qh68#>1*0x0L8JM#CouUsp(0HvtIWA`w(*`5988z_&1_e2u&$Q|)T4{AjHj8?u5Ia#JGJw(7`($Q366*x^UjldCiNz3w<{o^> zGO00EBRj5F%Kbl=fCYdQAeA<|yn6C|O{LM>+3+?Xby_7{zE~`Uh>7a&wl=DOTH|<* z02!gZ>v#q{r2$2EM#_BxU9xgP_sA~5m#2N!G)@qn&d^%Qb02Ai&$p@-e%0eBiTeT2 z8sTX$n^b5krzy0BV0=X1V`lw^T}$tY;kIi%J!w|!?VTbqbM_z3&5nO&Mlsig*>~Po zC2Bpf{}!IE|7gt^+Jr>^Hs(=Qr0s=oZQfmOAhu?}f|2hZ(Z8-7!4dY^vY*ZDZ5W zU`ANenq2!8h8G(ra#Ruj7I|)Pb8ZP@SapzHdIXymKbo5Jq=RSnei?X_*{>%PRhtpTY9r0Gw5R5OQ zCeYltR~Bk%bC>y7NpE(C_&Ryr!P$tBcjJW_VPo z|H3kCCe4t08MV4#D8zjq3vi}sB>ekS9TSdKJ(1C6qrLO)d!G%|2i9hRia2Ko-W4#d!N0 z|2B=3a<|{>k;p!kO&kxFX%N#Dco`WZL`Yn3J^)vAXg}m)D5P3fAJ{G{*3Yq1&uj6( z1uHf@N1xN|28y|%MsUC~2`U~di?8t+v!}_!n&Jaujm(^9)c7C|HfVjl@c!$l@K`SP zzu6ueJuS%IE25Ls&fbbT{Iwygd>4TX`}^v(Xdbkt-8mblEdPK8rdS!zI&4_HhwR=-Cmr#3!0KwA3_A#mC5 z{-a&0vj4V2V6(M!*FFM9<(*?Jxby~zQ>h56I&|A*@#skIX%u&MiHpCVf7dU z62N7 zVy$GV64mu^UkwNKmk*^lZO5h}0GxZ5_-M3K-x(S}Bnm%ce!ILk zSnhUiiIt3(v3eBZrFw%Pw{9Mn`_p^UxQ*e{d_RM=wY}_Yjs@7 zoL}1rL^K7U>;vq3FY?JE=YujaXUblcW~_62HB--2@tSU+W=INSQM8|RM|*n3!t|&) zyFxjpO5FTF+Re#D2)h8ai6mx6XRRSZe1l_n5QARWPw<#-C?Y>ew4NBcU_+mzKFh)C zo2$|UchT4+a7o8!?{^1}ubxiF)vGJ-4-K;ZlDAEsID z0|1|9ZzZ^+R*1@iV{_mgzW6fk4ErYS@1)n%eLQqSzN?i5^e^Nu)Ei*pn(+fk>9qPA zenO3FV@e1{`U*y|=(pEa;MpaHkTaJSsTHNZ29tD8!0)(ccJ3@>jriUWhsh1x~qz^`%p({sqWOvm>~% zXng1GZIvksZ5_(!2YDo{Db%`5mpBWioOxb-B#1jBtdQN5mr<8DnV#BU2p!dvT5K4525Iv#W0oFeJFFjr0~PGtv3JAC55 z5c0k>+)5sG951j0uHS|Q|KSa^*Bu=(zc_7#N{_rPqW!095csOlhTz6fdp~#&dSM_i z-NK|f2UMc*J8iU<9yE*LlHk>v+=O#M1efT9i?I(0F@7HGdelHAHs99}WH^GeWkDB9 zRhmeY1XJ802LkNj+FneOJ%? zKnBi!!A3f{w`|GJEN9g zK5O-tT;sCU>ZyOMkHXRZE?)_&p=T!QtL%JK_JQd)M0s+di0<|06{gz0Xy;9p%j(rc z6&NIGdO|=SfL1o_DE)YG&=uVFtqQ}!{;bz88^+$bs{PZ-qVsKOYd_}ZDx=-GwSO#B z7#NvxOczgxzXJRCB!L=(9Vu6%!jr-l->E)&dShfZ`Udu|HU*LjgC_`;9|kpzk;Nne zGHNMXnhY_s5l)0mdgLs@LN)7?Ksj+>Pwdj*2guCL~_pqtW2bp9=eA9>2D2u{TF ziR+vI1m|pti-}O7R5TsliuI%Y0gGb?Ds{;>bR|&A(*XI{Sg=T>$;{%ai+tYzxy3gJ zC_x*%u}J~=c3I~|jj(1&x2;uSDa?E?U^owXs zqnQS;o%_&AuK$In+_2-LxJmwX_q}S!*F;i8wbq;{81DAInA`%@Tz9kz;d*vH8MLi8 z?PxhTX^mmHJCubVg80q(XJW_xnOyNk2xGSmU~R{gdCa2eldZA3eg;}{M;@KT zWdI9kCBwiIQ(;`n%Y2dvAf%29Z9j;rRGPKU;h6wSZl!EZQ z4-NFOHpt~fywzCuY2%7!0B$hv%ebSr$zyeM6{6-wXMgVVC zB4rY)l~9MYpOHdY#PuNtKV2{LBJ7m(ARB3wA?4%-r!0ma7k`bOH2bxQ_qpY&mQ8>y zNfjxhW6F4BJr>A)k{Eq4l|*pM=^BBn6?w;^s^ye9mXXxdsUFvfu-_r^%;}Dqll^k^ z2kM_YhVIn}-hrv+HC_M$-CDdWKGuvr;{LyIC_M-J&^)8?#vRYMD#vxExN=^7hjNO+ zUP3lQHbVt)ELPs>g?ZavEwir2i{){cl}5QcJIoMzz~p0zbiXgbb$) z^cgaYK^YobYa0^&5z|x1O!N)qUv;~q8ULl@MycCxN671dTXQk zCtffF%Pe1h!37zd=DX@tX5w>fP=&JX%w-RZGvidW=}Sw^;FTSv**0`0J_7au5?!$| zZUAT4 z6G0JurfcCCpcK{O(UV9vNt5B0Yh7OM`s?7_eCky)a+$?A(6)NYqB`$a6C0TceA zP!IcHLEk5d=M6voxu1%La@&0pJ1?3X3;(n3hgDE)$DQUA>8AtfJMmu0e^f=A#BA>J zZzGKffb3cYyY-vhZ3uCKDQwOg;aToLBys8--LA>$HtFy1>3CND`!gtMsv>o0eUb?J zN5J}s!h8k6rX8*Q8DEm4oQLxW3Dv4jky4>UbF{HoKXI8I(pod?S_ zkFw;F(?v=HN{Tn+tc@w3C(#vvfe}iI2xM9G2Pm51>O~tvt+{;d&2&z8D32aQC~mP? z4%lrU%!`07yY`ZwiC_By8YU!#uUXLGx>@mdZB@(1+wz1ISBnEA9OPdKUHyS#L--k> zQxA4R1j)e+P=hyya9H!^h-mDYI2~vNMbAtMxXdDhC{q@YyKMAYQj9$UG#8F;cMwy} z6OHk04W<@MjHE|mO`we_0$5aztF&WEB1YnkN|Mb2#KFo{WTs1*F=Y^SX3ktjs_u40 zp_Y^vA66yX24Cn)`U56d5TZxf>c}S7-sgs{t4FEnM%XBuF(q>~rmc;7N+2&JDruQ9 z)EZcW&+^gl`DG>)r$lDIf%X6Cm2U7|D)mGF{J5^8O^x#evkA$&xwnIo3I8#u{eYl#Y_ z+Z~Cve>G<@87^5d)f+JDUbdJPJ5@|BNqjc~(20_r%BKQq1Zn}!Q|pHEFZ*M58mR5v zho0OE3<0W~QqeiEyu{)F%WE|eoGN^W#)UvSaxBYuP_-G`zT7nq1w1h$B$@%?`X!sg zItt|K^sbXif_Uebu+?9Y4=Lv?Sz_?#=dAGsVzNM93Qvm=V%NN({K3Mlc&`5@@h5xn z(=aWO7P$$J)M8?__{SL{Nwyo*W)KcU90zCxerjjSXQSKY>Quxojlk+8f|bek7#d|^H0UQPZX1(lk`LC{PCW(i3HCjD zqP3QfC#F&HFBv1YU0%Sa$m84WBu{LC=hA4NHo_sOe_ZU4P-Ic(*#%HIWr|}0*VVa^ zR7+DyfdRQx%`jI}J-%DzH=}IZF@fIvTDn%AN*spMp4@CeHOYWm{0^(9OPLeS4eDd=97gh& zc;Y0m!{4JyWa1>LC`mT-9RHW2TS=j=IC1t?bo7w6c-aepl!F1-Qcd_Iy5#S9MpVNi zB5SSL$Z7{e+XGNc`_MWEr`eKYhqky)w{(xp_A1BxS@u2SxmJ5$UnQN;3(pP{IkP5u zZOVJKLN@qX~@fe*_k)9W4453X@$lmMr^nT2&SUvS?`XLLw|MzwoOg)0`?bX zU%XpsWhEc=tCKMA!VT(fQFeVle9=WKUq2Z4ziMiDc1PQZ{2dRF;&uGP;^Q|snW?6_ zNFaDLV~D_ye(t6b1f+Y=uX%z*+|foZ!R2|dcW2%TpSDUrO;BJIB@-t&U z@F$dGDi0EQDly^yZSr4TQNaN+Fc6pcyMQl1=n2Z(8epA{?EW2pQ4`iqocpBUc0#UB z%z^+gVZhatqn9$C_u-6~ ze2TJ2d@DVeo`-3qlt%W#cN7Q?$zN4}yawbks?YoI8{=|ltZLM@Nu5o%UZ2FTF)a#^ zGqb#ipl8|W^uIa@l4PDAJEn`|ZW3dIS}}fFXXJvLV+nW@Pzjx&Bc{khR9PWH0?iBr zB#;*z9gkoZ$2(9LHw4>0mKmH2`4OD%mmhOj;-iJcd}4-X^h{+3BClaX2Oyzx0w9-# zkRMWr;Zgi+9`4A?z#5I2xO`m%geI_+m00g1IBW^pW{TkU2uIi{I zVb$E9TAC)ehq>%Ngq|K%ETcB=o&v8+y#QiEO$%kbx_pZ`?jwcSNOMt%w730p&!ll= zX5z~qZwC)UCxRfoYgr)kiOGnkW#jo8>n!;B*?-zpNQ%9izXJ^>9bK!u=;!mZx14zmluz?7)B+ZZfm$ z+xvafQ)dkGTXsUO5(5F(Pzd<fk z=ja3wL66_WHvkzn_Ri{CavL_!LpoGgdZ4%-_Z3XJ+ScR>e=#jqI0F%Qq@y)sU5Pew zBwQ9#I}V070w+k{$ACrNM)c;I&-B3T>8Ry43XcWkewdompB1(FzffQ$8J8TOS}-sf zl*IKaJyEN;c&jwJH^XGq=~fW7_?^dP4s^hSOWeQ6n)MXkqO2r0IT zZc&W@0Ubc?dr?Z*jj3I_yl2xsM;=)_T@kB-Nt*-?U4Md~j*KJHC-X|sHxY$G-X;jD z`*AGD)VE^#6nPU&G9Rw?W()K#+~tbg)tfC8vgo+-wRjiiE{nY9=TU2HFN9PGcU(yI zb8R-L_F_w9fxVj7l{y{ZEcLzQxYsGrkIjBNaw$5m8q5#WJ91@xji~4RRYAS|9Z6U zGtDS7dbB4kY`}J`juCk<_iLtPzyvr$CS*GHD(Ok+8Hkho!58?|*F6Heq|jj>019ID zw9@10x;zfYaEW+v(Z$SbVs!Tim<33y=N*X-f|5l%(8hZtW1Vj36pc%c?T>B+3PW^h zf2{u`v7~0kcx19m8n*wh)P(qpF1Xq;@$f=ab}*>&WkR>7DVm7*{`Oegy#@9*1pClekw~bG|H#RH~C5-X*?Z0gDU|ioc=UZ0lwz4i3 z@0N*ZRm3&VKArjIQ)UT6&g~Cq@-U(==0Oy=t@-yb*K?OmGvMDcKomP(`u9?#p*Yz& z^uW!W=Dhc1fyWO)u9dHlGS^`8*tT)v60X02!tad>zE_Lss>JLC)v`lTkzJzI@Hivw zWKEOJf}+fIx5xBw0goB~0S1@i2;>EH;2w%Jb2MJqE?O9M;K*~Wp&xlbHDf@06+(n! zFNa!fA32Z%P?!2ZY;cW@#x*d5N@%g@4#%nHpa7UZuH1yFNI8!cpen+6LNX0cW5Gky z#Zxo0Nnk|nrUs_VG1tw3Xbl=!ft3c?_oS)2jWPB9KlXt@C1} z3BDB~vq1yw@wjqWd;*YLKR9HKL?kupc|NJ$+I+N~{WW#&Q-vPX=-vNMwH5M4sPMS% zd5EL~lfxzmYcCL2IuTBz)|tXISCi4nODMS)Q#payG!Y}CWT7<_tb|oF5*D<{q|LQs zJDEsX0}Mg4_{r0QNziw z$w$AGND^{6_{*OHd}0c69$5tIl|K4;ipdx9-%M5Hg<_J&Mv_kr#D{T9JwDBp6X9d1 zYBmAH4xs|9RovmPfgY*BiH+!*tBQFmfx-ILazj~&tj&ji`zkv8Q@9Y6?$~Tl{y(Z& z88{MI{n2`BnIe1^6&f4rxQhFV+1@;d4#{Jm{bx{?w#NtEy*OeHCW=^UUem1CF!f=B z#3>|Js>MWjy&NsI;jAIU?q|o}+Zusc<0P^HD~-Ya$3(xpJNXgFEa(o|ePOdecQz&& z&K?GHF^V{w*=Qv<+XJ&bu7GpgMjR@QpHH1BIoqXExvaZ-9REtK)kFH#ka2#J;HJ|` zcE7E<8T3iQ!OaHCtSfqP-h~Rp;dJ4?k*~Xmx3kMGvI;ONtnjSx1X541P z#z2^+Z#8%gw)w%b!!QJ}>96#3cVrp8@%J`!6Wi@d`!kbvwtm}D3g7%?lQzaBs5y$W z2W9u}9g%B5P<6uPIv$C^j@a~i3)pAZ6@efjNz=}OsD+7}MCy%k{D zvZo+UL_iqf3QTafHx$FjVw5w}&+5}r#Z_xBuvB7L3>AuJLpUTQ-nm-SU?IZ*N|3sF z1W#cs$AE|R+J^%3z{y}mfIPfmIPOtEBkhZ4wb;snHs<@=uhcnXeZ@K zIuJ;n3Pm{72uy`#XF>IG2w>&N!9cw#7V6bNa!fWw2Jnj?Fr9{ikgu90ODC=*s~!TkKpzGlx)5PKkSJ`e z@1$xPhK0nh@K4QwLWiae|JZK~GA+wNW<)7qvtAfy$9F@5u7ci9qH4oHsEn9HLI)K> z5PYnO?6DA^uOK8?mt4yo-tr@#6tRD=EcSoncRT%gnuKHLm#m5-T%P)W?vvfCE8G)B? zf&dKBfycE;$c%-}8tCLT)RUa-RMKWh|)boC)+$ zz1O1b*@KSn`5UVSVR-lTnXu7Ye0@P_=`8MSz;zI7L#%NDIaRa%MJ+l@*hJ50JC0Wa z_QEDC4;Nzd^?_E~#l%ouEj%*Y4v0mz7oOjQ(g6ZV8y0}OS@78^4%OD`=lyK_U+GMEx^qp0Lp;@;o$;h zPnYSA=GjNFX!1ZDscq~@$2danH;K6zDQW2Vk%+aSB@ z4~$8ay>FgRjNypPe-}f~1to);vK^z20+MwJ1~*?;_C6R}94!C&xg?uN@XeJ>5d54y1hqmCrs56uK%~r3r@7w|1^0@>nK2b+DE1JX3e=3% zm=^6k+as)fjlJ~&wvl=Jeg=zT3?=Gpn zxg#H=|5Bi4UwQX8zvk6!K5YE_L-Y*`(Hbk?k8yPyX~+n=_m)7Y?gQ)Txaz{`;U3&6 zu3uZrO;Fjc>wIemALg)2t&l$*ENKf&Jf~O|mOAOUS(yRl4ORArTL%A zWf|$UvDxr@8^bB*p8->9Kb&&sa395;8#Ws+*29Z44fXosqoUP=KO#CQeJ^4Vv~Ket zVeHD`f8W;5L9hktcNHIoQ3IBNfi8S(BX$Tm>%a1N*yn%3kPL_gIo`8*uu47sxr=$h zB1|u-{>dNq>CB&k=5cXYtF47|9$cpoZ3Fgqv>l5p^PVhJ68s3wAgpoKD;F2QO!ngy zN()1X0Y6Y27*S?dVZS<9_N6mvi3A>|xn2B;40>IYIatVcBelQ26ANDpMmkphZaIjA zZd0Bc*#jI6t?v$!^j@IPa1;=pkW9t%81?T!j%Ya$^yrB-vL8{aq+Qk%&<2A4>rcqT zES`c%G(4&W^|=f6Kv}$j6%CM9O(EeJPsub6x~KK?U`==@DOzK@Vl562d>@H0tG^)s z3cNwq+a78p1^cN|x!@iU# z0IC9!yg+B(0o)=YBm|E`nr0U^iy@^T^il;%EQs&V6d4`h0EL>vojkEX_ZRAVQh*fs zZ;i|&W+*-V=Egdf=BiVLG=J{m{TJnYlvRDmc^KQLTT&OA!T`4iN;PiY=)BS27tlH|lQqYJ43n-yN+Nk?@1;sN$_AjQ$f-<_4=;jLEIa@nDPD+`(@h4Gi?wh8RR!7SS&~ z!lfa+J%t6x)`WEdXY-@=Eb78elBkbMUO=<=lZ<`&0Dgose5jx(!Ph66p!||aNd6_R za>|F|nluHAKpRKN-y^(HZ(%w#b~$W_2;JOj_GJYyK|Z<9^9PTew~bt%vdQ4oZlIl! z_HUjEV_k92Ce8VLCBto}I39QXsb$N}q)Qc9CeDw@gI_oVIwH#tmr-2C5$|^4Kal*P zs(T;=Ti}DaA9t+6ylh^XJFTAT4^6cDJG)_I>&)alOO)!lyS3J5!dqwF!RA^#cN*9X z_*e|CWuZQ*dO<`Y>tX*yk`H)&&>)KdMy_Cym^l@-=#IzYMK@Hy@)X z2z85kMh|7W*9NuVNe|l6hg%cCEF__dCQj1ktpA6;++X|g^|d|Ymjj|Ca1luK2A%^X96C)LlhoZat7kQf|e z(Kj$xi*<-*#ITEVt7g;qU>sQ>>Zi}Hol17s}`!Hb1QwzYGzdG4!H zj9;O&s3mk$wizwUWQ z-0g4^{?kjMm40w}e;1aQXWdpo{HCoP$+f>gXM^l$j4rs!eGH2dyUc7O?vXgw1leQG zioQvVgl;B}9mewVwix+SuGPE}l~_o<4HUNbO&VvWv}Dxb3Y`G*P42@|!6LN5Jzy)w z)A0)aNqbPTRT@~~0HU(-A(~nWI|H&V{0=Pmb9gsTX8*E3xoX)l^9!D(BE)_jY_8iR z%KvUNo1B?-aS{Vg*3umH#I=vsBdI8d%~#E`6qF*f5io&do!=?yU>dtZz@?9tX$_q@ zxR*ZBFhXEGYKna@h~V8z>?@gu6MW06VJ(_za7$`tuwPsQkzpLBY0&~4QTo3 zw?wl><<5r7$TXrKfJ**le;iA7Ao@I^k3g7dy_F&UH3*bo{sVdeBDexG2!`lbGDHvf zEKGTQ660;I5JH;dQ^2xwEXjc<{y6Ie8vi;HpPt?1vq2@flPnrqHwj8S-H~?`LoVQ^ z{XQJAo&~24)F2wwyKq=^w1iV*JOcTN`Uz(RBpFTwfu>X-V6q5=NNp-4$NQ^p_&V-6 z#KmGRvilw_4eSw$*IL#SI%R6129l53Jq^u z=6hMuDW66v7fxnf8;%BngV*0%f!D1=9pg})L3wtHdT0+ukW4@f7_{>vrZphf`}}d- zibr2`V0RzdpLyp$OO7BELQ)$A4%agkZ(#Nn%j*7bvoN~v^rp&?=TmXyQ= zwA#iem-#rcjkQj&&2}fe>SE9Cq^z{Ag^NT12J}7Q$LiF!kKIIM1wTjcYtLZ2GSjxU z8;F6pLD}taL+LQg>{`9PMaRM}^eumgUp4h* zUmHwd@+-Z22$t8~sgn-9?BCSWN9_Z*QU3H|*xO~U*<}dWq-XC=d||x?Blo!7(<8&U z&I$*5PL}NiVda8F1nOCBaQS01gzT|z24OXnyMO-9qrupask0Lz2I`jV+gLyxD5D`0T zK`3(V?X~Gj_$OIrZ&v1`;K)`}4cS_D%wNXkBzAANPz{6tsbw$+3ohmSI9`1l$Wt_RQwf`>07DcRxWvfw`2dyb$SIhoCd_BhX|{?DZS7d0JMrvyV_IE7_5xp6W(3aj~3TzLl@ zvydcTk`Mi{#r${&tAW*B2Z0mhE`H?4Sc85N3Y^&B)p3&^l6JZX_e(^X{T1X0E5vK* zw;(cJc;==h3nBY4WUB!-b#q`-<$O>Aq#^%|bz(K<9|+#Bl0_LB6FE5Gx}nWUJm3*K z2AMF;<1R~S0IRSxha`oKW0zQvN8Ss^;~afqejSUpH$>PNn_Tbb#TUIX_XAHjbI(#q zV3s?5?o=t`Oz;RgbD@>4`#W$%3yq@EYCF-FAqHR}^7v4&|;0dqMKdvf>M`XkNr}FOmq63Gd2R})4KqE0r;Zs(BAzE;9dNZ;51KhHp z`Z?9K<7!(rxL$Mp&(3j+!*;Ny--+@n)lbdz&%lk%;W>E6uT5c-C%!@JhY{Y+V{obB zRW!zd+h`_u0pl#cMzGKXnKNUTPUWr#Lb7)sMk6SE^kWB0wgYJ%A-=2ZKpzam&^y^7 zy(|Rd?QSD?8~w*ECe)Gr^CDta?#5oGqKKOUAfo`(wGnQ_)XdEhN`8PA4CJ!q)CwL9 z2+RvtwQQ8{Gh!uSQpTb<#Z*c@b<`D#AU_i$IT-p2V6VSI#)jnPL3lE*h5=G&_9JFq z;hER?RybcAM->|mIY6+j)PMY#l`hP?&G)%Zp=Wu{j@RD%ST#hLvW$4SZSwF#3Jx9a zz+mNgjZGi)gXz%fhzY`zUt|BaYQQy;0%vwDT@?rU8yA4#`vx-v^61pVPC&s8P8uUJ zt)NG6K)1w1ppI|~edG|Bye9UjlN#!2kL}sqSyfV)BE;$-UO>+z&A*;0V&qgV)wA)K zXuZa>VS3}^668_J;kJM`YXM{KCqx4tu?n%In5D<>V@xX1ZrjE5_kqgxzXoM$d_>wSpZYiuIGVB5-Q_qbs(}ArcgS$jtmIYK-c+wM*jM>=R$`e8n&1`jbf$dWpGC0`LQ23 z6GHe;vs1`_5S07{O7$Gg68EXN@vw*I=P%@Qw8B2x2L>pb=R7x11Tt!NL{YDepisf@ zeWc+qH(MS0URZZL8SYE}g=dK@f`zsab(&9~oZD;(f0H`!pX!c}F~EQSmK^&e0V!U@ zo<@TElK%P+xkG`;AKm%blc)Wq$>%{Ni+|Sx`U4{$4S$+-Jyf|&|BBl;xB@LIVaz-l zxgiQ2%T|@TK*%S=_9(V?>5GNq`YQ(gDZx2HR^Xw8qw3ogU0GlVuk&7$sDRm`DLFIT z>1^PQ5zGzuOwDUC6^>;O+&9$Lnpx$#F>H@(D@d@bIIWiQBz@|9Y4DucDIB1Q|!>J1)3pWuesb11;@MIDTWWD&1 zP)63qiV^bPXIV50nQ}~PDt>m0UDAM zWN$kN!WWi|f;WD$+(-M5s=er_rJ#C&A-|l8V=cp<5gHk97$qY?aVC}9s?G+7+pXpJnoz>SxTUOH@E8j>oC0J) z{EPI>n=MPg8Aq$=HIZQ&lkq}o9Jhj|10`;?nZ9)T9ubn>L`dbEzfEBVo26{e(H5J2 zS+A`b&tnEy+T1irc>a-YOWr+z*vrT(W zb}`#yLu&+Bv25!ZxLC#6AQ8qEovZdv`6a@R67y_w%4zaH(r|_3Kb6|xY>bz&cXh8DytiC zcw2|QSB-!H!x4fyi+ekvWSvvm$%^2KfsO*dCS?2s{S|w&@M<^~zt67*-XMtn!cB8B zDIE5UjV3WTG8jyWSECMNMC9Z8)E)a^V2rF(e=bn5iCjqBR2(-h?>U)k!@*@zj04M-nq~pk9A1g!G6@6tZZU6je+qloD~i;Sdy{ zoz9~!LzQ^<9S|E!tDR)@l|q*u{VxB1ttBuSCgT0`7GHrx&91i3PXSAS#gdEKU7t4Bv0{`OU5<@V* z5Ju}Q0iaGesWUeRT+`Fb&T7P5iInOSJC&GtuWaC<=R~Ds4KhgeNLFgCyDmEim0? z!R3qwNRS44FrNf0j$!ZJ!P}#=xEvc@;EwyX@O_;bTnSjIi?Aj$j+Fn?&)GRw6G#)D z8Izd<$V`)Ool0;7A?fJ(48ErpYuG>61_{jh@3sQEDLaE}5Z{;r(0GNLL|pjAWN0h9 z*@oklw}vMq2$Uet10Ay^-vOz&McyBw(-HZ7Z--I$U>PA3MqvqEK8uq8=tnW23U^<2Y^>mVyMof0USf#TO1NZ=w7A^LHW)CSDZXZ)mG=V&y z71)0srp!4chidA0SfGW62@}UNb=4^_5$buTG+5+DNX;lH2_1THX5$2DU*1Fb#aV4c zAgCC;j*CV4_b){|$E21Xcq14}qWdk3X*73kl^!nB6zCV42*|<>01dU9l~;gqc;iKU z;_Yww-Ek=96MX_#%a^`N0{yC`QF2r{qaoL0mTz&v!O*Y{?3ag`y<&ZF&NKS(j%#^Y zNP&+BeG1phjBPa(78{60PYdvJndXMLqdJBp0 zL$CxK@#l+UFz$-mF*+P{!epc-tvQVn9ZlyIVC?>cp<$6EfklQ~-J*2p}g4K1b1dm!4z zK#zYTD6MOuv<69{&4pA$2yC#_$W;3S5Uu?p)-t(Z%*4FhQlrl0?Z~MuW8`havitwB z_3rUd=k5P^+d(CyTQa0%>t?ML8fQhB&9aTruF9Rwxy3jvB9$DrXiyH7(ilp!G}3_z zorHvRm~k3n*-caqr6Qx4(C_(rYwz#lYM@k6AgJU z3*uQT@l|0kvDKEBlTYz%02Aa}po<5%A&m5CnKg7yVE^|yj?9!izB)~5jQvLmYOC%o zeKPm;DUFu@GtBDqA=s%)`)k?jG>uNG&;HUsFNESW()U{D^YXPV9muP|?XfZ6i+!?# z&fU;Knn*ubNvD&z3nH;h+*9@Ap`? zz$Jb`^8s$yzsYK&v80VSmW-8Ni{_wFcHqlgbDRM7Nv(n)0r!jC2CqHjrJA6E3}6V? z#zfA(LPw+jG)}gUPb;E6Fy{~KIQr#2nW*>M3Ne_w&8$drYKu>^aISg=2eYOnFKrRsp#0H z;@4yxkaN{Mwjn}Ldk>s6LaHf1W@uw=GY#udP}q010?Xq0UgRrj(h9}Sab)qG_T72_cbfDz)NcG-m-35&PP}H2OCWsgNG5h6-Lg5zbFw1em4Bi&eNHP z_Q(%aml{3ZQum*o<8;}21-ZH#e~FKi`$2D0jxT0fC;wh~_!ett!~;gY4X4c|YCH!6q5Ny2E=TvjnXV8N zd;rE_wz^tT9FJi4wga5g3p8n$3(||#@Y;(izlv|LAy_C??;UQOy$8ybZs$l6E`Wwn zhZ2LHTj(`GhQ_Q1#g8*)C>WQ8lM)^jRUZREDd`17l#*u3pTboBTfM2~9dFOHD2DXu z?`Hl(vARpbnJJuwii47a1h!XRZdQ3X%K9RH+?^gAdIuce!%!)Ns?ow+L8WJ5%?`Rs z?cN+;2t$ot2z?^)GOE1-B;ENoi~W%M8uT$61Fd`waB&^P=>`EcjM6-mwv2+C>pD&B(KxzSep6r_c2Lk^GC!>T`uu*T9 zTK$iS0wv3;0_{TSv>ToMnEUDtcqsA3t^s_*5-0I>$;CSa_~&2 z<9C}?MwVal;9Nrc;hczZ4__6+#4PXtCIbVyGJSO875E(uWqjbST$uHC8+>8HSnGCP|{#0)cvi0AJu(a!U;+V)l<2Xd}N= z=W6_SBDJNPo3g?OrY0mrpa@&KX>3i z?TB7sQS|g)>HUB4X8=rlCSq@{{i<1V`$N~M$jbPZ1}O~wHb1DyE|z5LLyxz0)GW(J zTx#?PwnR~xn_3I2HEHlo2@z;7?pSjA{I4r+tf9H3z`6h~L-Wj5y_~nCZPBh{apl%_ zUp|v1LQr#=%b!Xp1V9a0#(<;^-UK9iMizU~y>_=_oM(c7VIfpS66BxK5iI9k>J=;Gdhy!FE&)st_!{=rv5Rh zAZ>;Ly}}9zYaEgF4>De%T2H0sV+JmR0Da-+2Y}$>I?S+hz?*abZl%3G!3I7=> zzkux`IV5@w_F{KU5XFRu(OC#i;)byNQS0A;CucaHOxMVMIld^N8zz9uwXh%XxM~J` zXLD6MNGE7Elo|Lctd5k@a8Uy>&rDk^#@5t14<0Oq9d}9~9k;LIuF;__4Or^CXql@AU)1X3HSi6F{Fp)*ckcDRcjlBz-K3)J6) zh)Um1r#XWg3eAQvJ7Yo)7CR}#f0-lTs5^e1k<{>*q2QNoY#5>0@DMkJ z8Uo+;QSBThz6UkrAJ?aBQmCh038Cv4n9bD(%V}imfK0@v(foutOgMuPQ>CR7 zt@GrQz|7d&tYPcvI&6-8@BnRf2P2KcL8@P#5>t|_!u}9C)JUQ-^TZpe zEcdu;9gF)h(5~{{{swSU-}ZvKD(;6*>F~i0xCXBTvh(%P{#VeuT&T2N207$2quo_t zXcbR!yr|m@!@HT^SB)1)zrZ1D8ZfB{B`njbnwa;}0-9O-30zLLFdQ*ivA`GxK+ik! z;W~`wMEWkearUl-(oAUc&>yr>l3CIq5Zu)dqsC@PDNtJ|aTL)Q;4T4&6T>35d!1RN{K$|jZoNWI++$5fPhy+pNXlywjIFQL}r4X$plWT^E%Y9 z>**f&wRmJlTCQd1qZEz}o2Dzi=txb@6cQw=c>^H+*c5j}qhD<$jOYOPL7XN(o*NdD zB0d*0d>pYBM6Me;-R0A#yF=LuU+do+~-BC^eOQ`LE@ew(*gPu!NR0#;b8M9BnUsL zaV^{SoC`>`oNarv`=gcko#1A-d3kt_0~1W%8kP$;)GcQF!}pDMM-~bM3l9_LtY{uYd+7`o#BTz;$R^$z$7@MM=Boj@ z!^-KsrxzV@1)F8@r0OOc&c+2l`+9PV;cl1Yz&w|i<*Ye(PGKsLX9v{8x*yr6UWS)` z{er&4KRDjgm&HC*Q1>UIIY1~;3+4dqX>LKF2mAPGDl7O$ zfRQ~!RW!YxhfWcr;-0ZM29y-gNG%+d;e443s`kg=`{5=aQh5Sy+kmB_+XyhvJi$m9 zJHG}ibaMnL;`LEl$HLFuL&IZe^7Lr+LBhQ@txh+T?JK}K_Kk52kGAv)%KU7S-m-nC zIbEqC^LmP$n{EwcOZb_5v}z++a$vwGG||G`Fd&4)Cf&@A%A^2#AW!J~1<;-O0v=4Z zYzsSw=mc#e=m&=>J=ut9ExHRghG7Ij;VEl*{LEM)qr<;l336$;wQymZwyzX2yVQa4 z(aq%wgDP!G-k+urZ)9BsKq~DoAjYP9AgDgC0?=u+E)hn7D_qu252sbp@cf-R3!HBW zJ_};!-DLAv(Oxt|u@rk;X<7}*p}G_D(wc1GgQXe6LH}M@&*9+4&1EPLIu=tW+~|`( zZnjBLdg1+>&#T6JD?g+#&9j0TPRjj$EbaC-b!*;ae&>$RH{%_9B-s<;?bVqTYZ~w6 z1P)tuaED@VNy7FMssk!IBZItE#4)vsPnt7~iGRto7z@urb-?y=&w#_$e|e7QLq1^ni;5y$Ng0JPvKXu3}>4!`*yxwxH%9x_JOdAe|4h2UR!!IqeHjIfzv~&%iiAju)KL;7a9dVtFAKJ0eN0SxYbmnMiz**t40T9`h z&!9QiMLQsWn)KmK86P$n!LCmLT|{aIC}k`7$5jtqPS1^kB?>k~wiXY__#Q6hcst-v z=}TQz;arrF^F3HuV#C62C>pJ;aUH0p#MEO&L~ONJ<&VP?#^U0mrIeIP-QUv(9Y@L&DtJ zy$LNO{eoGy{lm@_Io*6~#pInY2#j6v`eruz0Q=ivL!NHw5XOa(fncfq4PLYn>Hl#r zib2{ubXl&JEda-4j|Kh#5kNrKqr?U>2%X6;xW9o3OAhR$@WvGYOSh)=1POCncVUn3 zj~+&|OB90@3lRqC53v{!T7mtMnad#3se|N&#t!+Pv7Xp@<6wU$PJ@f#t1vrkwJF4+ zIi%5&DS-txLR+wTCCmY^DOq1<9;j>>HLtX5G?5*iMHCgb*wCJzUPW29MS-x!BRBwD zXb7aw5+{N=XI_pv-IsaWw*>oiIK)HtLSljtCU>oMcOpZv<16bFsW8l z+|1JG`nWmHV`?6hdDd-E9Xzh<3-WLue~(g5Jo9JE)HO{v1phG4;Zs6Ed!>K%)0fZ3 z793hEvW+j!k^mZ0{?p$ChU7&5yDI}&TMqLtatoL_qFw*K_=#XHmsIWVKS5MU zKsM;1fxN=cdA?85O4^s$Y zl5rM2@Epp*0!Cw~Eq@FY*=i4%cOJvCocQMHBC1z7^M1M@A%6SON>;x*V}H417KnZLtg z;^y?@nSG@SWX%WafI=nx1ilACLMb}k(tut}>eG4BkjZ15HiBKut_@}cdIOET z5s|>?9xUoLaj7Zsuz~fl`xV%&PbW@x9danm(RXxVGEA`X&G+#t7a+7Ay+YGjOc3A0 z%=|jkwZ;ujn9E}pV-QoDq}Jl_8_pI(aQ~t7W*8IKFfLTR-e|597I?jkubG_J3~7(L6^SBu8Dejx}CPRx-c!yrPK!D zW>)7{r!9m!d$sk2BmHCyeK|3Syo=|s>76^bX(rJ+gGuv$_u=sB8Qj1JJn)P}##&ydM=A!i;ncNbPx}ZI7N9ePnSca2=&%}o7X0fw-qVvT&LZn3< z?$S>6y;i=lc36X@Fpc}8zVE?^l3>hFFa(y)vg!t=274~F-noVmU-#kVgz}!#WnI>{ z{JDRB2qWN+@0R85C~V@W5>mb;@>R^f4s-r-^;Sa{f)6Roo5fe!^v{P&tJC6U3@i%w zyT!yqkuT$=*!%}H7|4^n`&2!O#%|X3U$F5$N4@3QU5oEsmyrIkfQ*-#eoecMp=T(l zCVijgPr%nIYtd80+aT)Bt#Z*`KQE=t@L6p8qNf`r9iTH=JX>QCwZ3>3a2pJq2{y!X zZGjUW)~S4C%~<@)p_k)T`llR36xSt2Gy1n8g}t^Kt;q3rWSuP_ayNPhC}wwp#v)X7O09uxK#yQ}_6o!M9pF!o=@naDR8bXj zh(Z?q?(8I2xBbyhj;HHUX}q_olOA2Ulr*;T1J@-X!0@XwGBH~k2*H^$nUq;j8jcsU zEfnY(zK9rw0r3tG}pWh67@ONZ+Wuy9n~&kG720KIEDS-LxXf4G@6f z+XLp6wxF6WxuL+O89;Q@?TP}NElxLlR@#I!y`3!L%?lsgIRl4 zc&)mRlh`u;*Qo!$3-YwT$jbxS2;mO|qpIjOHcD5JD2HfyIp?5-7ba66S!|7XdV49& z%GxYTyS?$QN$aPg4r7D9SMpcu+&Bq+f7_z67EQ!?0}pfe-VxGP^NFSHT58xnF=G7P zXuzxP2m|6{%`OOKJfg<|hf1B|QO&>^T%G2d0M^Yd8dGZvhXhrRfd@`#cSJ8aG5E;GubK#PG=ht`isK!u0I1bHr2Fqpf(Oyy#pkFHUJ-Cbx_nk0>8 zdI-MH)FIdC^%f~z`H$xe)DqWWfaC>PRIi?n1w>F3S-&14kS`3|U!&g!xtSPQP;)rU zvfvNd2end3yJ`Z0P1?cQ^;3m+99Y{M_?**TVPv@jh^?B}ct0Gt!aNjkUl~|IM4??C zpyNkE!;l0dpjV)pS}xlg5#qDBONj@u`YzITe+^twDTt&RtGT7tT!6 z0mes65WK72mVO-L1{|}z)KzlbG#^GTEtcpc)nJ3Dv2j<_p;yDMUl1ay*@o(QK?{Mu z28~qORwDq{4;0B)`U0z1OZLoJ-lHQkvAf1xguOZZqNn%fShZtcFg>SUA>s(&%?XTc z@^UIgbsuFgJG6fCXn_>`Qyeq&+4zLC$h6U+p{wfCBNE6;yaUs2Ndy*UPjL^I2p~- z*0MxYw|8H9+j8rmku0|XYOS|KA#-CB_g5zwLLCDBNR0kv!!|Y*s&1+ET@;3dz?gI* zJmewZiOgTn!|VJeSlpYfbGU8Q8_-fsYxHDQRqPK_tQ;iia1WV4Tg<#DvDI~3(O9EX za3&*N?`K1oVZ2NZ2phE*twE1mgTETzA{s2o7GpoWi`4?%a^|_b-b}gLfSN%+?{miV z{jORrxA5WdJ?)KkKl=Y#UYM@81BJN<>^o{sc5(jp1@bpf1F_ZVKpP;kGzLwsMbR8Hu6e+y{hBM&M@_0WL8VF-;^w+*da1wEW6bV6H`POsu zLa(9Ft34b*cN^ZLnl|SQF%xTpCcT>HK49jjPC!CRhiR}DZY1n{WrJju zqMENW~Ud!Vi5J+=k?z%DFKK+1+nlu3#$ABWZ76S)08ux(uHQ$k3+F<&;V!w#!CynWo}MaE_~oC@Cg1-b`&kdVT~9lK$jdD#-9LH`{t&P z`t=(Sipy6F5YG^Z$Vf4l65xEYO>Yn)ani|)Zt%~}wYdqX@Q!E*m*_Jr)M4q@4^ITZ zZ4_PpJ_Z1gU>8yR8MK$0qLr#O%uzmA9+K>+Hqni@0Kf|WUr0QdCQ-5RSJ)7FFqF*YLqoP zxTlx`K|DN9xUef4F)PU%)&)A&4`TM0Y!G0&pK@$4@bheF@WU3$$m(q4UCYX>4OfYa zk_@-IYGNw9C|Y7-(AOs|oY+th_iUmgFvqV=-OM=FD&Mr?WI=+pQ_R=Kb<5|sMW-;e zV{IcF@0ATJ4HP7Q!hM$2gN@65U&ID-9n6UCszN9reWwIs6<&_5cRs_8NQyJzvQvN* z6!7QR#=8m*SFdxo)t=KI_EWSWPrsmUJZmG;S_=fu><%_Iy=iAO95u@N%=hOYyRL7t zrTb}~*tvxlq-W`b%v_&L=O&JgWMZQEj=D+#xRI7lEcVRmbt`3uLTp!wqhMAEV-5&# zt9kf@rU}nu7{oARvz0T06J*qtcwzW?B7O5bUDL7gH4}(~t0If#=(5AeoV64qm^Zl^ zU)=+7?;b%`cfSzWHIWb=GD65NXRBe6B9S957WYehRJYW*3`6gdxcgjw6Zyi!ZHc}D z5^>LG8$9w+YfJjz%}^Y0C?T1QKN~(NtGT=Us9(1 zgSCt|%b&VA9{8*tAvXsEoH#eJZ;BvdM?$l}d;2=PQ@w0BPb?Q#z_rBt@}B88C}q}R z$**~yMJ~S)(ndy{p=$vJb}3dL#Hd(=ZLi`x6ONi>RgMuMzfRo&JuV;i0ANZ0(8AW& zK>h+3PK)V|xgh#98y4dBr!TC(YBq*Pq460nU{Vz~LePQRz~p8U=%(gbxN8!Foce{% zeqi~w?Hs^Qlm$66R=U-w?^(}?jE0)iSC9o;*iUBzm3wr!VWW%wkxSwiJxW&|gfbc+ z9P#@!_k8#}C<3(zI`H$(YjFCcB#60Edt zwWZo}9A%4%s)B*bst^&L`T^(6#brTc{zs^mywubeAq7hX#r5173?byJY4$+E$^CMbTY>1 z(!HF5)=ZQbzHviWqvU+taDK)I{K<#H?&BcN(wZfQ zmVW#k@zFq3HkA4yy~mCiVU)*WASr*gr@8A;GV5D-sJH}@Cp)XVigvOA(clWT5kydr zbZpx>u&HHf0V;6;FqHglApKvr%h3@ecM7IWV#6t|Y%E}h zv&B59EP!OWu!{kPW6->DO;&OX;>h$U>+Q#o4O~jh7?wI^q1!+CP(=sz|Cx|+4pHHt zSgPR14;#TnVvzaD7cDNfmv=G~aXaK8b`nj*jcRPSk4NGy!*rW^%Dtz55gC`Qb)MX$}o;J2RK2IVni#=ecI`7o(5Wv4YkxEEoqU0U-=u9^qR zTu{I|2)fD(uFz)`nHKACMKyTkBCtM1C5%TQ#aPA-V}i(cIy0efqDD$zX1NhCpbQq) zGdlls8d=6)fIitbOfk&tCmpW{=U1p7OMZh>n9%pjFPiXMUwEI)#--HGUi35_lpR>N z>&l_lU;O32KN2o3Ka@mFHaL5|d)iU=hp@UYgnKV~2J~=KuRn0SD#5c_76WCbJbpdu zZUS^l7&q1Fpd|^NOQ0*qJAmubED0)cIxld{PYL()v?hFMJ$&2@a1vhTp|wdb2DEYk zb`J#W$Q%Iq3B3&nN7xf!7+eDzbU~zBiceIw{<-jH$+{0KR0?XUC$~1=QQg$a%S18U z72+0ef_@*)Uke*BsM%FPgbJNSO?$R_W}Im?vCSrEA+m-QhPwGlS1*3FqV7(mC|izJ zT|-|BShc&VKt{pAm-*6^TP+lw6|2jqxHp_feSej^BP7De+Ui-Gx`MGsOEuTHPGMo+ zlm`k7{&TLkO&kM|Op2Uq%EE%%*ehXeJ>-BLKo{=Mcro7TW=-zW;*b|e^DLO=WO z_*QR?W@$Brn`Jp%%%A<@Wwe_rvQ`c-E!%vNFnywfP2@;0qArqI6py&+8i6=!DFG{i%}59w6H`YUyub=VcP5lMC9ValJRgTX@`Qp!I|gt9mr|-$o-HV z6T9{C`?6qLui?Bum7v@&YpMY?z!?O_AqQ|rbSmJ&VbvB~Mf))6jO<7PI#>&llnBYw z{@6^w+XjBfBi%}j03e7Gs{IBkdO|n(7>rasx{UU0&oSpil&T5o9dvOb&O9ZY$R;!G zhfmoUBI!{iM;USCgmk@^^T0s0;$gaX zAqW|z=XQ=_n_)Sz3D~^A1r-}ME`Demel0)h(*ID6HWdVyha0)FLh_)m!S`6ZSTxw1 znR>m1;FrLetJfFVXAqn+s~bRN2NVq7crz4Yx1r-Ea~0XOx&cl9>S>Tc^}%Z(RaHS# z#*NRBR2xF!${0ZRY;N$Z;HXfgs209fHQ(Yl236e_UK~7I1L(ulYsm8Nv@ECq-y!_` z?g&~C8X3o+p2B@V64M7C5PuSM8oDNvs&;iQZ?zUB(04-z*6)IyyFblGz2mO|ITKlo zT6kukJfv2b0Ijx_0lu=V4~B_A5z)CraP<#|4sM2S@zb}?QeuHfVkD?uAR+<0h6FfP zU~5TI01YR+!kFLQ(%$K* zXZC{rUDc-|y~jYc{f0Z<4NKk1){%z5cZ@qKZOd2OhA-WJ^eQOqh&uRx&!s|Puc=E$T^GC}nnA}i5l zeRFZxvD$#!f!wo|GjE6B$%T=JM?Dn2uJAP!=bR*$MiMHJ_@H5SVTaE`r9`}M(2USN zWEZ0R(vemLS5I4QrIVmTt*(tgln1#mxXPc1hN%ixC$>r$o)bo#g8Fe2+#)wY?AvI} zxP{%Y{a9BZLirA;LIa>h=n0qWd{tHqvdzuTC{_$lTE*Cmn8m@hm* zXG-TBprbc=?Mf%J2>e{+RL<><1EO#}bAY|w0QNkOS#YU(2z!U*fSW)U#g%|1QX+tA zsRflUeZIc5DdSk2!!W8c<6KO&;dXTWm7-s%lY#w%kKU%+WJnEn$Vy7vPzb(8}s!LrC{K1wuRm=LD7rX&QEiP3)}jy-GBdJZ!3gzdc{Z(;P^>^;?ZsR6s8i4-MfIW@kfs&fswVt!F;w=P?FX62*T1HW-B^ ztof)2c<5L{s!~58|i0;c3Em$P4^h zn$6I#e3~-yE;zka!mo;4gmY`%@NuR%G0Zh@$HiQ^l`_~@DA}2PJ+jFz2J4DvaAbBE zsy^tC9_R{0_S_I3i*Vp2)H@E(D>Ka6OH`>{SxpbSp(=h5hY2e%A%hKgn%EIr;)8{B z$6N(j$_NX4GY6~Wx)Nd!0QT5S^!{mV5uhI5xwAbShNNVTh>wuPK{U&FvC&}1nPJ&S z4lHCVzQ+6LGNGvWw?k~##wOMflJ?;nG8%; zyFxW-e8dD;b8QcGviod10Ne5~&BN}{1}FziDfSr%-Lw~(#e0Z`_u)7r*#Y3Ltz4JN zaRY5lB=@P?7?4nRCB!&?IleWTV98Xu;*^>5$?44<8Q@L@I}Dq!lC>hy3cI{j1#-Xe zBP+Oh$;lp70FiK8f`Y67c93m7F1s49_GvJ6osU3baj{nIp9LR3KT#W4~F zfWZ~}-}Q0cB;f78o}^^!Kx`@?g@Y3~f}U`s<%p35Mh`x^QLqa#F{c^#%qIPx9hTX@ zpaZSKRjXU-uu@_4PYaygpmI1u)`qn_u+>*?2UFFwnoU!FfpE0>XO1O{Nnj|;nT-Jt z59PXwzWKNbpcmjyEeXO*SxnFp4N+gTE^Rm@nP`6UAZR$+vZ48XMLPR&xq{1keZCT^v2rx)Ywx~2 zoBDRYwKfd@`bEUi2WJO23ZY)!OLRYkDE+E`^8;i+_h7_+dOpKktU~<7xFX>2L>V5 ztS^5G{4cZWAy*_`M>@H6pkUK|@o_r2gy9eiT6b@tIsIR7^t81=@4O0qH>4XP(mB8W ze;;Cn6BNty6~_pg7+use_x{-JY=bP&({Qs#z%$d<0x*u*jcflQ;y^TCu^`NH=mzJ}O*t z1nwAH>H8_wwK@Mr_gUw-bt_fL=I=xUf^ytW!PF!?-*mvVXZgv87`#QMHQi?scn_Ex zu;CZAXuvv$KmB9=%KFJClt)fM$iW)oPn0i4o!Ig^y-1E z{zgi#N>>D1HVF7QS#|roW56HLEe=(j?7(ZLd*88dX|oM5?V45_e2rgyh|pzw3#zyX zP6U^<$VSVP3pZa)T0HnR^kor6uIL=^{g$1XQkYKMrr$M_t)%OkClru(isBjK-_rwQ zm5QD~2u{Bb=$GJm*AxC5v||luAu3Q2dKMqrOM%9%LQVD7Ob3!-DF+q zE?91No1oW0h9P4NZQ={yxSm2>_jn$Zfavo5J{z{b zUy8NatKc|h$g>Apz2Yc2t}y zsNKihQ&1aH7YUDrtx<2Jcib(nz8!OS6lqm|o^cqyfsUh_?18R3SnKAsP86h;VMQ#P zJ;A{4+7+KTA0T&(e`t?F%)%f2WBdnHM&xk8x1sN-v&Rniw*8|i_|!*!vf|A#Uensl zaz?vx@m6!Rkt{o-@OYTymKrUhu+LuN2`7+(l(5zZjny~$u(NF%UPDh4Mz$!4qQTDBqGBWMzwjW2su-s=XA)kHXp27ASFh1^d za3do!olz|a&%;DOi$I|UoNR{X@?SyZhADGihg$)oKLNwp_(W*~OY?tLV`{iVz5ty5p{nX0|b~?7Nbd1M#DYC z@(=Nm-;2JFhgePuX5Y_}PyfRJE!UiV7dhTlX1EmQWc7dzf_WErg6csLj6d&co_Y~? zFdyy<{n#GckS&>mi7m&1Dd|1xoD0c*Oo6DsYvGSCl1>QO>>9vKEMe__a2yT!iB7!C z0hw~$8a3_!ORP6|u+7%45o^8yQUAT-cpUtZO;Kj2Y1^3ZaQ!9Fqs&rfSZtvy^Sl9X zyPo%`{0ac~|Lp~SSpf-W5HuvCgxfo%^^Sohg<`UE9(s2u@<79LYr9y@ zOu*7DgF@7QQn)c=*B#u`WeX;tv+uoV)zp(`0DmkCD5&xCTJ{ENT>T%hmItj!^M7P8 zU)(KhD7-!94=&;*G5?dj6AOkLH`D(Gk-HQH2GiA684qo znPvJR+4cD+n46H*o*dGwZjZoK@B&hG#9M^s{@KTp$WCtWCw|RJg#aKo!i5c)RVR}7 zZ+`&W-{O1kI37w&fp--GP|)%Ed0+V+Ro!r3K&l}G*jMY4YCbVXubT};O+`Ca9J_87 zT|bps`BBi`-Ps%aAjcuuT>1xavMQOI&jS6YqPGNkHnifu%ltMJ{2p|1yrc=(JLpD8 zagz}MxX`$%`a3aZjJtmZ&?yQ2Xvg)938Z9Xn96}e{0YUnQL>Rdu2B(ZAnUtuj6i9( zE6OXK(vOesy;Sou+GWr%T6Hxb!XHL9?Rv0t&&BaP@yhc3#;p&|R8GeJ>=H8mXH&(# zckjz=Rh^;yBJwVGS2n27rdj@>Lt@sq+&55a6sF_Qs{h#qp`X0Pl60dIUL{XpA;xl< zpSY(aXX81!3*MJnAHHt^rS_?+6hss|0@R{8HSU^VJ^pbu)>jPj#rrN7NFtq_N9^i; zaY`!&6unH=<#fjJUAOG+Kj}V>-AclUPm5^9r5xg_a!SgA-rdqLy!7~LNFOUHzT>au z+}qBHg#N^q4t1Y}TE&xgdG8TW*TMASM#7R1Jk|NvR;$MM3Y;3bJ4rg%t!rQiA;0}4 z(}w}>%f76hXj-h2-VQ3p4;v;#;5z0ud!7&)XRn7`(xj!@&?gcbVJxrF+lDbgP9gA= zrUQV>HvW!L(tkuD@`N}hl9?a2RJ~cjKWKS5lTBF^Q9rOCemF@UCSC}*A8Z`w-`0nk_*y~IC^OY86(6>kOzMfb|VniXd?RVqp{m4KR?rOfmNra+e6m*s4-TMXPz z_UMw)UJ$%fdB7}%88dJ@EGGGWoBf#*L!Ikc-G_W-oPh2IC)N0=g5O9%MNc@8_=|>U4o7&U&pF{#|z{ z3sbU@7d)Ue<+P=*&B3|-R^wvzq?3p3LO+me$*TYG5&o6v^(A}RSBU$<$w6X5IF=oi zD%qzOXy(ouk%YXnm%1$*c>d8p7LjqopGpg=`<%ohoBCoM|A6m`rC0)6hDlXttkD+O zo3GKkf(Gk0apuU${bG^tk}h|cQ1`iEj!h4L-?pE5SbYoG=EmIF#?jO+IIz|vr6rWX!VA)X7ix5nxFah9-YGBTLxIGt}j=iDyF zd$;2Ru{P9*RYoG9P#00rt8dig_pEX-FbB>`dM-eHac z6et`+QcG_kMApwTt2!+EbWc{Vs}vPAE6z>_Yo7!&D(5ODlN@Ve2XD<= z|LjY&{KVaVQorC`H#+m52%^}-99-|urqg%ygXw8UljUDm$jH>1LzUnrhK^mqckU`L zqV{z?jHWK(J10qU^C=se9~el2VhqLWyU8}n_869Q5DiEc9q1z#AQ~IP8q3|Z z9;oEUHndvXNSAfQoOjEg-Em<7E;h`Oi4|%7un#|t258Hqg5;evhaasl`of)2UJ$Z} z|1{=2AoR(fM)*;m`v1<`aqe|`2}JaX@L+heV157Q@Wr(e@G&CM+eZPcmAK6Ix-MX~ z5q(t5QR()@ORhz=f<(fby2F?;z^a{dDHDKyPC+dE2M2tw90+2brVI=!+YZFz4Wz+` zAJ9-_5dR4i9)p`a(XM7UmXtR7s84LKY>UHY*yp3ooB_0DQk~W4HMhc6xE1W8SJ!$J z=l&?;Rf=3MxKnTYJj2e@9{b__#1Uay3%T83B_2_|IEG^S8G_&dGKwp=B!m@o#Kh1X zkn&4DiR}eOfCKe?@0oN0nK@L>)sUC-i6p7LVl*MC=~-R&T^sXyz{n;liXGs>_!5_u z`PgUJ{5nn$-&lI$&Bkhb5i>up0kgtzp15Bap521&yV?tMxCE;O<+d64+6m#dI}C_$ z&_gf`%`jQ4glhqD0x?Ap+S-~Izqc0>NFQ7vw8v0V4!|J^d}d9q^Ui^YV@VEJk=2!k zwe+aQ|7G$tu_n8f3iV0?v`kJ~aQH`)c&d#KWr93v5t-wxD#>~>o=@dJB zi<^v~f;{##s$adzUoBkl3-Ip`y4Psn9}+&#ZY;07$pj#beOr#rne|5&xThvWU9Sl^ zQ0k|?w|?quB;~RLKmh)uD_~+bUEbjd(a?Jop`T!|Vb@I> z{`JW)Mn{GLeO3g2Mz|)ZLeY%?|B$>>Vvy|2hpvX==aAH%~)x zGBq2=C)+Bdgy3R1u+%%X+=4MsXx3I#Qt@Q0`>ORDR?IKYQ)?~X34Za8mu~tz;}`GW z_pmVugGXl@2ezxkLdtSxwTQp%uhuQe zE_xXqaN>cjQXKsEv~bhA=D9!QVzaEN#AeG~@VdH-C9Jj!<|sMotvEv7$N`fmS||fl z4wZE<{dBwSkTdt3TuJioqK-avm;NMQ3lsP`iqlqVdas$qg!``kqO;YydFlOGIzX%a^AoR_36EfK{lGp_oq> zL-6vzle^s4_&6CNz`NAs02>hLyS!koT-bv-ey$q4ygCqqatyhyiV&KJ=GByNZS@yJ zfJtRHDM4VSl6LFQ8oF#&@b})>1p4S}gbe~jj9`KIZ>UXJw+HXw)nD92mfE`19^dl{ zmXz7t*=tk}i>P&8u#dVjyp!Pq@-$i7HqwW_CpRpyQS19h*wSHKN4Jj!PfpUU=H=;n z_)Bd&v8oqi=m$yX?>!1D*FVb#htG@HXf%SL7{r(}0EgHFJwW&~!b{>oW1%4QfT(#U zI$Gf0Tn@wq3w)HQWSZ}w&Y#X*-=mJ9zEaurK1UPOhh?5Mex74!%)XzH`lvtACjJoq zOHQUgO-FEo6AWKP1^MTkbU^byEF=uT-XJ+$Ega=NV@1fe1EUST)0Pu(rLD2%W zhzfcBHnt<>YMmD^gY`Nvv?ug^c9%e)J|PYopvdYt)&jG^#W$Kjh}#Um#Hn)?SjprY zfdCC&Y6E_$&bML6H#oExQ9LD+vL5^LUmfCuojY?-DABjAeBsX8E?v+#;_yd*NVL<& zrpN^|M;}7~+HvR0DV~IF>BN+dG`Cz~w9|(8vG@lPv&6q6j_|8S9di5Vtv!;;my+A> zYy*Fm?90h}87&)=e2(w1#?o#l7T~uAt{@j?DHC`bd>90UcDDM5*#M)hA@*x-_K(?O zMy$A>-k}(Z;&ray5K$7gRse_neJ`FC9zGXtXVO7^T6dRa!;!vDGo$a~x zs>Go8m!2@kAE5zNlN8!xr@Bmsosn@e9fYk4-D|KsD83C_|8oU~yA~ZD%fJ!`%cgZJ zZZyA0k=s}79~QYz*UWmAy0bGlW9guxpA^H-8PQLkq|UJtX6B6fuu?ye5sJoT*@i`d`}TJt32b)g`CBm86%A>%T3`Dq*;Xn{=h zWWk)}$2aX~rpN_c3(x8vuzv*jIN(-OjX}?8bk>)T5|}^MsFNW+LS8R8%lwWDU_mpw z_rftFg;iP5yg=!^yTs4yU%{B8Ul~KtXAlRjVKp}^Mos1@+_hZuk#r#ciWeCY^M@A_ z}wr8)=i#ztUz7p*a&{OFs@fC(>)!yyIzVS_23&nb`58Z#kc(H)`Uvhn7&C>E!^yP>5k zF=e>Utrp01mUr^&td7vnGoG|RFFO4157+U<<*+|=s)vtkiXF}o2-HT4E1(ni zI1PpnadL-0M@y}*Qbn}^{Ch~AJ#u!bupONO`|@Q_s$)M%hUo!+^Yq1Mjt1@)S@CY!rC$b^cE3iPPG8x1UVsS<0FpXF1Q}F z>{aMg?5z@4AeKQI=8>j?Xe(zw(VC)*CN#G+5<+vJ*8y#h-q!~uI(#_aB5z!UR~zMk zbbEgix`ucLv~ z&U>6xy&hpN@L3!r&v`^f2hAlNcp3R84{%PxO$~%rv;$fck!u4tj0OD$EW|ztQsib< z!Z#gLH!2or0n`-%P|dPBxQaVq#=KE#_~bT->9Zoke(oOV*opa|^T$C8y1b5vwdn~6 zI%Jy$X(qq|s6hRPXBqVR@3N&6TJA)^O>D-q#cUecJ)-)Dqx$1lHk`Ie)`~RF2KbX@|@Ez+#AQU8wu`hU6$3qcFB>ChS+u4Vp#06heeuw~V~2*fns*aUOE zBKe&WqZBtMQyaIl)>tMR&Cn{k2I6?2LMX}3q*~BaIimrVzv^LlXkoM-uQh3W6HX={ z>sz_&6;8_4?yTY9u=MXgiIw8L!+GoGm+e*(!n@5D?9j}_S#WGlXzHmvbj z_BAX7fNW!IZCMEG`B+LYidYE>&Zn|}H=?RLz@$9ZB!Z*9cwTjub&<^Pc$v3=2Uyc1 z&tw2*^lO2@;a!dG&F5FqD!;C{-~K$`@zlt5ZJ!EJ*WGHeqjOQ$V>@<#Xs=`Y z`-*~6Ef;^DQEDj-^v;jS5JYyW2Y$$TAKs?E=hs^`EFSlDV?NHfWpR`m_cD00AT9$F z`G#l~AZEfo@vK|+RVwAjk2uNP)@S?&X_y;gNA|>8Z`Nm&!FVPPrZk%)h~yncs5@1f zUK~W!4{6yA+!?37B;9(v+kwP*!fcCrG0-_0xi0z#-P~HEM{bwey%5vvSrxfFKze@t zQYXLL`gST8NvzLHxIiZc{Rf_i$TG_Zbt!nn-)}ks7Od4=ZROudeb!>u3ZG>)MXL zOePeaKxc*DXUlW6uE``;2pPc1`<>BIYxHK}Nw~yCY8HaMY6kczmT#bADm;a$djyow zdfpu0`5DJjueDMGg6Pfh=8LGu5MkIN*WlNi-q=%{4j5csy_fp=f`(q6o#Xp?Mgh8v zjMHdNSlGf3Ak(_Uu$MEUkeOSP4$v|jrB6w&2aDd)IDSfH66xVjGvXCSdb-^A5@gxv2d@AgLvv~xG zAAv;R4yzs$elE|49u8?p<^ZBdl)Dtzw0i$Ofmi+4Y)~zqo=TsA&JFf(4s@i$de))~ z2}5kzj4k*ZYzY>9)1=+h($c*tHkrYJBXF6j*#W)K_TJ*7)ov$+g9E@aoOrftu+;EA zx2FahK>PCmT3jH&m=T_Dw8Aap<}^RXDM+et9w5$R05GI?8bc`ty4h#iZ_rbYo36f| zS@W%Lo|=T1LX9U~muyf15xszmz;hH25u_0`GOnP!T%Mn0VDsH}zT^P!T6|eh<&=x7B4H z1^Sj{IwhmNy><}rzs_AC{BaE6Ju(7|sJWlw$fmpxNF2&_?+2V|Rzd@sc9S}J3Z{J5 zAC#&F+--N$b+bVYrJt^w4O`HAuh%WLED%Y9QZ?Hyu`toUIsNo&*MQWu^%dg8mb$}Q zkyuw%BZ9LUv+cWug5S#tc3}WIlp+uy20*d{ND_fP`v#ASi^Ve~`xV_8dqxlxH0>&N z#dWz_ph}z06__&RoO1`(ZrS+Gv6YbC0y`}6|F=q_UiQ14(r_pZf5SW|z2m&oFnW2* z;0Xh+2ZW`&SU9sdSZfTA6`N*6TsaS2 zHU+p0R!bZVjlX2tZ6C-0r441`9xEngNEpP1&C0rhi-`5>cCGolUuZ7?nEN5U;|{~H zkVIVTTrZ4-*}zRaCOQ?`)-uX)LL&_|iR5EVrSl@UOjH|wo^c_V)8~M}G~>Lpv-V@g z(zR%{B3&{86UpxOOOUl!!DGiBD1buEL*+aO1Ep}}khY^~)d)2+nU z47XpzW^2CajqEfmfW6VuEqdg^g9R}7ocM83+{2V0h(Y3@uJL!UIu-ed^eml0eAO5Q zO>mu-*3Skm!%CPIOj_tUjw70XTFJ89A`840avmRaJ|sERF877I?dk=;rO4Ed+*SeE(YefU}F!a zJqt!wn*-DMb+fwTbb9T9;6A@ATK;N{Wo7IBp74~bm~AQ*gHkOd z18!x5L8)t<0N`S9-x1o(Cdb=ST=IDa5V*IKP?~xNhCbQdvAZpZ8IjMxNpe1o< zZ?83K*DoY_K>xO8lv_^a_q!7}MaNdyzT{l63JYdR_iSkVa+WLINif9q3XgJ&4Uu<~ z*GwnvOr2f7Y~DBeAT5x17i<+bJ1LX*1&Y>un21;L55OdB!TN#q$-q>J&(PgC)(PO> z+k78TBd3HGN}MSJNZj07F74#S|-%ruhzFX&RBzKDYKT#bQ26u^V!nw8<)nNhweI2uuxx28?zSC)$4&IfDB`5nIiPae| zQP)=+6>ae5lt~_qBJJ5SO^BhAB*lN&kA-lwzEHW1;{o?8)Yd@&i?;xt!^%{ zLWxSXq?(Ysl2De+&^ARz$R!M^Tw4~=Y3wU=apoN1TVON&LUD-RP(MF{oDIHg42jDX2c{*x*K}a zab9T_W#)-94F_pY6NQ)T8?d2vM{E?wO~wBdMD z5jRE#+`?`Sh~8!m4B;Sz?{&#iYgRPYA5|r8@am+$<#pm6{rjzC7Kc!cui;bYt)UHF za=K!+M1^@vf9Ay^9+WM&R`~{k1!)VOce3G3TZ}24PWc!QA<+^mwcm619#u>f0Oi~T z?sCel2&A4D)^r`mhP)NTeaaVo5;5A;ugL@285QJ;G5WyQV_;n0KpeOMsd+IrK+iLa zURNL1G@v@p6D9|@qO7>X-zO$+6j4b%@eu$T-f$0(LU(&2nQ-1n%BcY9RF@O+1rZdnKm!^h2F zYmUn1o(X;3_K9i)nAe^D1~NdPIyKK%SYll0epu#a-OlmPxQ=7Pq8}O5$#mu3Y4_^{ zcWyo~AN)yr##e5gnrThXgkhZu{zSX#{`An4^b*}?S{Vi^$*@3BM{qa7$%vgI%>c*zmoaUWnt9XV|y+}bfloCF1 zXmX3qc-qpjDt3CyJLmIZiC^F&8ClKJ=In@e|Ku$SzhW%aJm3m03FkVZhxJc(o+c?P>E8G`jXJGet=OP?xFqWM!MWw9O_lh&HG|E7)Ot;AH-4^ihgep)F+QQ1n$TVSMOg8eB8w{EiT{gK z(SQ58no9~t8XU_YA*bW?swx%toIThpX4>Q@cMS^%Dv+8)6P2It9>FBVlhMBUgak?1;|HEbm~9!iD?cyiIy_urBY z-3Vm`4Ag4{m6vg0Z!=$)l2G|cTVVijK9XzLl^G1L(*|}CX3KW14QhWc-16=_Q!d`o z$_#6JDP8?nI6E`0b@kWiB(^;AS7%*)@i3U*0dFkqu!(T~;hkNld z5=B0Vf5cX_jPM{1R|v_i!h>NY;i8WVJgSK(?#ATz*@k6H+@W`!yd80X*aU23t%f8I zxqGHgM<{efc8X>od2Kpe=3}=xxB(NL>yVVKM!{dGcISm{fDfsYB}H3t5%V_o*;l~} zPp1FZVrpfk)1Hmt_5{xiIW!BHBA2)8iIk?FB1YGrLtYf4uPe0%Zv~|+5gx|x*?Ge( zk}ktxHPt!j1->5hQ$ZLWu0=t3!I5j^tIB(g@p@f94+C$&QfO$1Fdt`nksJ08|KOeY zo=XaqkNcQ6j=;hvVrQg&;h5GUauNQL*3Em(kSFqz`!(TrY9dR>Y<{_^{{tpSa>D`a4SJ*irF2$L9 zpnPt${*J^Fj_Smp2mHJW?^{}PerbO{vC+@7LOr>q28Gt(vaYXriz&N&aU{XOWP)ne z;7*>8D-8=YB9|VTMo7*sx&0<*J1FnB2^EW@A9bqwTKfhD)p@25(t*kwWqmiE-6m2 z9Qh0Cw-@za0FYPqEFKHbxKuvdJUth{*Q~&8M=l8u_YO{2LoP4)io^cMIkz#qY+IW%5_3v{WUmyszz8-B(gANac5i-q!;h(*ZG zp4|vS3&B*yvPAg29ewi0ts=}8@8WnAZog;!w*uX1Bf+m#^(ENyCmWDYrP>~0yy$g~ zFV1zl0!n6Uv~rqb{p*K`20IMz?m1}_sBxFf2OuPXa!Pj`iG2TE@F!benYM zQB&Py4#~l&S)Gzl1nvr5a(ZNjFZ00T`qY2H1!(u~GB@I8x!_BosAEQ*NQ=Pe%Oo!* zO(Z>WU#zx*;RUIsJIhIGDb@z{zn#!}Mz~$jMzdl(Z?u7=GP=bFAAR8R9+6;^2yc|p z?Cjf38Z3B%|A&-qd2`JB47O$?=O@&M(8RXr=R{LG#n~g+un%DQ$Z3u#Uk=oBtl)zM z+8F^^g87CooL1UvrZ4={g0%qvVw8U{%L-#P<;?%)YM2{AwbboA z-l+=I*#_%z*HyzhMInC)T~0Xd*_*qv9m)MP^LM+wz7>DBzmre>3qs&Ae|#OD2Dj;jV4ZV>IGKw5Im_bSo$$OTFP|qQ3%0Jvad*I?QB2#s3N_;0`V!JwC2+; zH-*I&{iL+&<&m%+Z60JNSZJPJe0VHPZoBE?-XZ;GpPf$bHeA!%z1whIu$fd#REATP zL?G?zjs42Yh04p5^8|!8_@wT);ZgB6NuoaPAKq&0Dcty&W71p!2U00Z*?9Eo{&h{v(wW6Pb0|Rb8Zo76YG#`d68N4&QH0+df!XGQ zy?iXHPc?1frux%--C2mu@j4ewps=24Kjx0}>~<=VbI4#de`Xw3uGDS_tUf-rR_rAg zH_iT$(yeG>V{sia1{~Rga0qc3GzZyis!9;m6DR*j<}n~xoKb7dg&{cCZ9&hG+NtLh zp*N-F&esqdV+|q(LFosqA+We7@3S>ZZEnW#x3a-F8+c{?YamllQ~vU5nyMH@!Ng|+ zV}|(IxU_!;2pZVSxWkv2j%#zsM|G}|!9ZJVLs{Y%O`L2=wELq@}91=%A0xH3YjVx6jaY>uD8ddY>!KJ~(O?H1x%`&_<#>oJz!SX1yDW3-yS5DSy zP#ZU3kFf!))j!#T`C6SD1;HtkkY)a;uQ!!nLz$<6gc_F}Fo@y?3oO@*%|v>f{AvFV zaDfNuBvm{=|135aSy4}{vo3~N8ssH^DH2+F4(!|>cuk4_TXHL1f-+B`kdCAH0$0_c z>@{OP0?9(T$3(?4Mio`NxT+b<)a3cHeaM&4@ptI8W!g3%st{_DKQ!wcqFnZRLtpj0 z(~8@Kvx0IWv*U7CQuYNMo31}(zLPdl5LuB!{qL;Vo4_4R$jf;K06J`Jre z3%lD!pEe$r)zW}Tom-heWmwVGSfM#KIJ6D$h<%6S8udVvsd!SK|BzU+Bd9319oO-Knfwq&a;$qs9}h9) zLq09!K_FxY1SNEDn2RHyW4ku3hA&8STH|3|^^$^gPRdpUP%B^gHd=j5^x4E-N}$3n zo4)>VjXTbxLFBwK6K#9Z1QOCr5M!D!Vyr=5EL=^((-2IYSM62qCQu)Xc*_Jh9p1k0 zv(t&(2V=zoI{{C>gJX7`hb}IDl+&iZNzYh+X3dg?4=|}JW=wJz3@4{A9DQ883)8hs z5EM>fejBmk>Lbu29ZaHR{_63oVH_+#3qOpV=>$8R`)!GuC&=Q^0&**7WKioE6NONb zP{@NrB9g)bDiA|JlqX!{_ZY8#vD-gG$(BP@nRnBd(3W|-s?_(rhmH(LQ*8EXs5b(G z*0rlZNXpeYd`Ad{1Po6X6WJ*4gaRy=g8|$v7wP%nkIoYf`KXXvDVL+`SW_DOq^ z#K6NPt~%#qJwA`!F-S=?|3ibMrGbOuRQD3kB$QODPM7|}N%#Jj06ekPO#e70=Y=$B zOXkIYwnKD$H&!1>ZqRjfbgLk61$f-t&KCp`ms+!T28+@A#0IYfg$O$piEU(#r{Pi! z&0ylX%>4#Sm@J^~#7s3Dq~i7FBjmVp1lnRv$x+kE_JS%4cRQm;b9 z$<~xUEVF$8a1Y6->pG@gIF9@nG4+C;B)8i9Gmv%&0%Zw<#3Ls1$YXy}owBEqQ2rdv ztkrl6gj%cCbh30NHOM!qUs)5O>#7rW#K zRfqS5BS?JzkO3t1VJlkRU+nZsxaj?X4W7AcEcG5vc7JflV|>qjZh4P( zyE{LK`lr2tIKOD-{IRcE94FQ&dzY(5#)XlC0%}~p{tt;&q9K}b#9O!Qk#A~}oQ>{I zv16%=Do%S17h}f8PCcVWi>n)ogu*EzitS>OE)0^Lz@q$HtjZ0ZmBX%N)ewl}=A_ce zp9z}NV1h-&O5)sJ{YMzmJ|fs!5T0c=rR6m?5zsF{yi826XpyKNL_R`3nA&l)l^Fju zq5KxQ;#y89#`hGj0@4ARsGA4g30x2(jX%=_F{wn9tPtV1u$Gj-!`2A6CzOoT0mS%~ z`hS0RJ3;}nt(d?yCZ z;hlu>g-55L%xFek#_3NQjWLIEAjmv>vRbbsMty3trw2~{v_k}8{E8&XMLWxZTTN`x zkv;Q3*EJK&egB>2`d?~#FdVQH>(_vP9vghu;A@~0zyF6s*li$ZR^^5*7``z_9bm)j z7@v6Xr_2L!*_c3=TEY!V_URBS!3kWrM%5^TRv6IZUqkk#1C}T;o4$SbDa**OX@WX6 zpK%o3@o#x)CYX*Fmv$>Nn0Q9150i2fI2RIuC6ocEwYsZu44#sKo+9G8dF5i2m!Up4 zKky7TY7DCoh8EhyfcM-iLXjlP>atD`^n9^H2CH8^!V)HqiSCkJe0bouK_84qM5T5% zq39zXuwyjJvR!)fzT)kYe6;n+!QQHKt@B8D0rRQOdxw3^l1= z+oAoipN!$GZEFj}0S0em=0E?p5T1|%F#5n`_TBqks^LB#2Q&R!Gx z@YE`tbo#=(hB-zBsUV)z+iAG`>ppC9A<>9oT3!0W+=RpkbbeVad|NKNgsx`(5^`A- z(*1=e4{Dp)4kW~>w%h;RQ4bSZhGZYrupn`<_I1>iqsLDRk<8&4S1ycbaaWI(!IjzH z!A_BCL&B8%7IvVktcD<<*m!ZE>l%A|*DI@2@V~S|5}oqo__`p`z*xCq#ghwy;?-sI zT(3x9zg#_|Ds~py^iaW-YRQj5bEYpe*d&0;SPR!NU>`&?qyaQ{T^DDKjW)dS4=@J- zh8Y{L0M!E72)R@zBT#ytCXa|WCvfM8>vVyxq6MpaE@oWzTuLZ80g%kF9QQ@yVN8|A z_Vn(RK+1l(SbMEi0frFuv1hcABSln8^}X<3=NBvvuu@woO!|t|iHV!Kr)+P`oB{F4 z-vN;5JQp2G9!qr^4iv!kqR&6ate@MD?4IUR5-W$v`$)`InC{QcO}coFHiiU5wDQV8 zuEqmGMhW$f@uC494F%(Yk5TS}TWvBxru528IDpJ+K6Z!tXtL3$4mxZN)T+@ZKh4MW zAN}H`Ju^0daR-z983FQ)uOv=AVe%2LH*P-p7+QW1%swli6SE?NX$u|QD({#+`(cob@}C@(|93ZqCavr_(&<3W-m7~qfBAp&t7#fJ z9|XE>;7bnWY(nyyBT0Wi9dk1Q6f;opU;{Oa2|(OW_r-j_Jdpw`>OhudK+R{k+V~6vPdfu*^K!LEF%rG#9MWoG#s;1sls3-lKvv{E zE68KV;IjA4J?c5+8dI$U9$zp^OGu=HW3TcX+8pzKPy?oj?M&jrSxoK zk$%W{?}^xEEI3J{oz8%|w^RwtdO9);-M44zoj%dssiZSgHu zyu=*b$V@P8W_|ZpwND7JTEOhGy8}!rpaw&@m7N*C?e<$<;L{~*fk89PaBerZzm6}d zlN)yZAyEZ3spd04>|tN~(Nhh(I3MIojGw=0xkqhG~^yK)^h1-U4=yqi3)A94cHrGa5JZBo8bz3D0>m9XVqJ@Ub!zkKWq3wV;7r z=lYKox3rE7(+mp>nOqZBO^q$O&M_>G>W$a&v-J^gx~Oa`L-xp}UenoWr^mm)O8I*4 z?hlD|gYRFRHPNOY*4PG0FD%hXeePPA5yyoxSzbBvt6#O-UPpSNlOHlTR8iwJ!}l62 zPxBxJ1+4)T{V?+!I1MDGY{FG|Caf(Eg&VAOnaM%}oG|ocs?2o?L8BeoxgzNc1h|Ir zH@ljQnrS^p>Uco=b26h)OtKU&3V>)&B27;WZvAf!L|m$3T6o7Uti=R?#A$C5<$`RC zGB4YEWW7wjzsD5O}uMZ~W6ksSW_EEF#OfwR3DDJvOrdc1V z)$cjuNQJJ-C@E*5jQ%;+t|e-ynAN=`i9P>_`(aR#r^F}eA~KYB0SVWu%zAQAr=T0m z3~k7$dUkiqYeYV%K$W?$ryVGO2aO!-IG33EX8hFj_LF!u3Xy0GS$U(#cTZ>twZ;%_ zBmkHonm$r)#G(_6Du!Dz#R|9;_hw`b0fM4)E2hthcPeEMt-*#&(c{kou*aS|OtIf< z{TnOUB7c7{MituupO>$T12~Q`s`dM|gj<1=x6OY`!?Z2}pWv%7aFsyq|2x{2{nKJp z(Z(Pr#*KHv2La&^#Bo;{(*$_CH5gf!@^rh)xyIi;Y=`Z}2PL`iy+A09s8Mzg| zSHKuqn30i* zcedZ9^5sA}KE4ypN&zlCRTnYAh?HB9=+KDd4!g~5M+vF=p_$k;z-eNzQ)OEb&VW>~YL4Q?xaSzVyI=5S3%4BvI?J>}8f6cw!7)Unj z#Ew%#55uFo!+FA+rzmT>jp`OKm%9uR`3HrFzD-BdBTpRxSZ)1Ky~hQahA+o*Y;p9n zjNp)0Fe>4!6%>~~X3%;(r&fAOWcDrdpAPLDuCVH+yDn`)CyQzVZV?oeZR1-w<}@@xa_W|MOCZoo{_qX0;^qZ1YxNlpvSZMmurZvDN>>(xYP| zA4`o;ZhD_~dF*YCl>MyaitAj%Rq{u8M)%`qeL4C|Qw+YdFlV7Ua*0Hz^Vi4)@vF}w z5#W(E5h0(~c?}i{u{|lUq#pOE+V&W;Wz0N$a1B9{M9aI8_~+E8|4LG37x}2`S4;Nd zsz_;K{faSoMbo6G$Vat3Bi-!$!AOQJM^N#7iJ6x2J^~lEnJ_cQWdYVAWRfA=% zDnLy2bfy_;qG=P5;qq0g|AJFjuvyYxp&@71<-@!k`9Mq0jmM(Adx|Kpo(H^{Ld896 zD}Qopq3wdfoRdC!Gz7fP$8VYxo4%IJkE zJ^fW;A|I=RkA6!R{yMC22a%xJULFdrWI+IGYGnnuC{>;+6AcR!f_4fMnMpNiFdR9y zJz|T$Pl01}A%vL|Rhi^|nNFUtg^S^2aZuG#uN=J9i~_*j<1*0s+z;MjTan|m5&G7uY0;=JwJ&foKioq z8u;ix`GNMbw!PLM;U4|NkW2?jA84T}6gNutLQMho zO8lk&M*HYufmkS(Jwsya1Id^-xWUX@?DzhWMao-ikPoq(SCVwzS!-@oG?Ab*(7}vP=g4-oOJuGZJ&2~iOh+Y?^9j8 z;<*{$UA#`e7!{Au&M%E{Ue%r>DurCMmD&!U(j%{UsYT5mJNZn1)cn&=*sM+t!}w^X z=$WBTN`FWBq4i8z7>!bCTEn^xm!jh zUO-m|w%~u5H1`J7(KowJw`g)*{2qrDq*2cMQ1%_^npeEs3Pt(h)J#^+^LJqY(v;h| z2Ih~)9GV{_pBDgavuB@*szp*fiZS*8B9W|!M-rG1jE&JJOje&gm0yj;^?c`FIOj|zUNc|w zDmCz&|#Yme6MfNpD>JU!0h`>USW!Wbk$bCLF z;P@_bBLwEgC?=A%5^6D-DMddd1api@t=tcZ)hr|?WQW2+WL}H1P+%P9JpG94vCEoGVzH)3v-UaGj|JyK==e)U zxy*B@X?mJDRnx~YWl@xH~oeDwYmFke?Uhs$Kc@PFfqs^uV>ieBHV*UXFX9mHXi*hFsl_vXp}a z!`97!_nB!j=3DZNZMR=L5n%{-O~0G&#nHU<%pi2Jk<7YTEB=GI3yk}_T`(AB-ZVAE z6->wID*dV>SxB*qT0#wKi}4LyZ@S?lQH+tq8p=n?igRiyVyuaHiKT4MhmJS5jwci~ z^_ohNPAyIbn~>vkCNz{YX`HPh^G}u8DPJxYDlnc6ESS4H?6iW%%}8g?8Ofw@M=9yT zbTe&-3t!V#C2Ca{7ruM*s+?nW7;YOTvYe1>c)k;!g93=-?kd*9#!rf=Rt})Q1H2*% z+X~QYM^-vNM+Y>U{@22M<(x!{@C;I8Kf*x)DSa-?DeY9;J7^q~ zp5l8I&S5bfOjd6V*0KP8CU7qwyt3eCrk7DFj$j8a5*tZr0_A*Q-v<9AC?qz;Sjwt=6)@XVRxWhGZog#5&&7(^z~uKR~X#o3yeqc+amv9v}9 zuIi(n6_nj4nv(_lL2)cRr-Q5)^{srq=F*+zSyh?Go#;kfei({F4{-1BUEVOQ4`j*Y zK6nrJbabJ@5t$Wcv!K>)1OIDVwk*IuNFR7PyefY?;vFl#c2@_3vLe(-^b15l9IYvJ zu(7qNb36OjDe34>nnt}349@A-sdXBrPw308{2GMFfeYe`_qt)h2b%Q$8!5vs(=sFx z8!FVydx;|(mU_>6_YaAO&r&BGM|od>RKpOFPJ|wAomDqNXUW{3{YeHV`=7#*HDef< z$^Dx&ZvU|ArrV{KIyV-$66iZ4O>_dd|W%3vLg6-}@)`tNn;E#9iG|s}oSwvt-&mv;`u}zv9xpMBa@o;d}=e{f3>1MA3eSTIoFEf9iy2PE5 zwsZ(E-UfBbt??Xl83~OP;dE^A$9)X2|LMu);Sx3wZZAh2dmCc8&}*N)Tx=7%jcGmO zV3h?>8I!1M=moT}U~Pt?2|*4DpjJsuOl_DwkS&wU!yWMQ32hr!zAq<1;Pomo=k%T@ z7rLD(YnqZvR6*h#(-jwUygiG&3C-Hjli6_ia68!Bt{&dxz1cZ-%X`uM#M{+)Pbr87>$>5ZJ<3k#^2wA4FDbc^6WpEIAkeDlS~m9ye3b!1ti#j(Oj9A9aH?QGB4ibd2X-1EUAB ze7y7!vg8^gAG@*(RY{q_6yUbG``A$E#qxZ5RVc zv>*^>bfKImC*aJXKoMdV_M7Bn->LcrStx-X(uj+uS(D>g%nfa@mA3Nvn{Tw0!v7u8 z9@AADo4ZqG;`(ZyxfPwCSksb1yWZci%f#KSruK0e^~8k^C-n=%g~E=J`3nOcjD@Aj z&LK+VxV|8oA)jcm?l?S7ZeRRv$;=9k#v`yM@F*JeId$I(;5184BpNaM1}+ssSz$77 z5Iv~IT0E0$UOUb-QXev*n6E2IqeRKf7Qi1#*OuFH?u&n$;aJ%N6TeU@}4G#%&tkHpS2totW$sCL59m>Z=Bzhje z7IJ-lX}SjF#s969tS8bgfu%sfU1>m$yy6KcajlGS%5h1D$W%@vu75R;bMp=bL_mv( z7EVUPH$2`wftIrTV6ooNdV`&Ld)$4$ZRzg9DuFWnOM@sK5px_W8Lr5R9q! zr26l3d{@OW4xx=67i@YeeN<(X^Fu( z+I}UIbwI`RrA_fpwbz4Gl7*Vkw6FxK;py3TYM5>*(2u20{NBcPx`N5o-rwboaK~BF zY{BvM@l^ZRX?dxPjGND4T#{INk<-Co2!^+!EoI4iL3ui@xH#*52wxWIPGk?DPz+zi zZDJypVRuK6sh=u?Q2quXYnTb@J+}rkHp=6RceavXdmI+!bpyIjDoXmwV5HAenadYU zTJib2*6#bzm#VFu6<2{j@#I)7(fhhLDZFO=bD?x9_rd(qAsKZiiO#U3oQ;_b6m z=lXm-_NRp>wwN}t-r$@r7ywMhT6ulPa_ckz&P~`&{DtO3+ulp%EBI*m`Y?7b7O0;i zqKmjV%F=)*(&po9x3gz9dso*f4#Ai(=Kl--(A$JcN-E}D?{0%SwZ%T5kiy4~RRTQj zH>znExVqc^?9BxJ!R?RXL+mseX2fL3U+jFFkfF_x!KM07R)xh4{~r<|SB+%i^x$q% zTl*xG9Nxm4R|5t&?^co5>mh$*PPFZwAr;9$Jrm&AGoMT5KB$M`ZiwRg^mJ{~L07H( zC?}gc%72_xl|QZD(kj8>V8T>)FApoS4dAKpp9J$OoHBDR!*= zQ~8e*H=ccV^xqvZAY{cCmOL8Jpf4%TI2&59@v*h`X+3X}Qj(GNfWM2gk?)(sKTOI? zu+ZdIsP|HfA2FO3Pk`4+dX!d7+8xbYSHxWeAMyJQB+R3}l;N~=$6&nJm`^Pw;a=$w zMDxHk+FsOosz&+Mgw*0(GJs)PuOoJ_ZPs5vR7#Po)m z%(?B^Sl;x(1?!{;&m*Ruc;mHrLMWPU*+6(#M8XOG4o@4;X>K9F*j^ALaG*)i$lWyt zAKWo`5+I43-zwH9Ai(xvjA?WOlwh+Pmdt+pJ;Mk3w*_7M1#HIV@iA*s4neviwg}Em zYst_T8wV6b;@U>JeJ%jlgxbFg_-zOxaux!?nHgY;?U)SEBec;Ir}ViRbJyR*eFy`Q ztOilG=pFP8#GM3fpZ?1+V{%$pP6bQ*1Gz30fY9Jp6Tlsy~J;1XuJ2h z{E#@ZgXrNW1cf(m1Pof-fM1b{U$`7zy|Y`@`j8JXuys1)?Z*rBc}ZE>*Z6@iyLf0u zU8*U2Jv5a>tlY}?pLA7TTpOweEGq2uoR()5dH7$skW-jzU|5)2Yk-FMuNo;%cvXfS z-4U0ww?z=k`pU`q7V&ZHUbItwWaBq?C$56WTzlFzuMS^`C&)Q>`jS}%IjTKaPUcyJ z?_U45M46OFZSq8%$6(afI%lzmT=2&=` zY7fdo`*zX)SofjiHRD_L5NUQA12BS@P&tt}A%HPpX3sg6aENPBa;ZQIWr$*%?*&)ra@TyX zD__=S00n}GZS^Z;sM=gAUxpdj9Pl)9`5BXuuu$FtQ$rFLHea2Gtu$~DxVrICFJ7ws zUfR@6U>uce1m1cJzbBqYeFs4r6%MRwflZjhK*(pyEr&6WWN{)0S3`ZSHln|%Rue6Z z`YUwV06?&fo9ywP*w1A`8lTlubRJT1{}w=a3`tFcG`d>gr+Mo5)bgG&81a)H;De}V z;%zAdvX>7JY*)S>;0XkD;;-PHN|(JCwJ}I$L7p$0L4(NFqv4~FVv#?4TXj2Y5 zr*lWps}l$M@xi@&LsDl8G`M}bdnZeD9TVGj=Tm)(Qh2-PD{uf;LFr_85Eh1)lfPGr z(c?=m3>T4Tk9%;Vkv;c_A6^eDJ5tJ%1Ncu1X}U{ud1GeFZ`lCkYbkvos|8KtpTR4g zHoH6SHqo_^^FP#3d8lLkv zH2#e48JdDzc$OSUn_^cesFKqz9>pI+TD)u}5%HZN7XfFdS~tY#ORLuR%W4PFvhQ%9udomgy2kmSOGE@2abs$O693N)~vHc>kV0T zi?Q)h&znJ+e_MyxZ5jsV!L46|n~X-_>b78V>&gPzhU=!+J z+7aRcTaFc&EW|t+eLDeN$KvFIrssrP&gw}zPh{8y@n;%rbCCp=Gm}SG%-w~DW!Tl{ zi46r6qVF0GB#oxovmL334*gf3B!n!>*L@gSgr5&~2epW8l&9=psl|tjS~2E(@xuM# zmLfxBXBuqro^w8S$?}OK2en00vy`U6eK}EsVvCbEkYRfI(-k1-7T#=pn}#Mj#J2gY zRGdpLAG4tYO61jc<;Y)kq<;6krR&CT#ga+o&WhTo%WytPzy@44nL>xg%fcOJpu^-8 zSRqe=Gs>V|h3AHtz*M%EL0tX4Xb+&Rryaf=DQCTkCycp#G+t;zuXY+(Ui#pJ^pt+9 z`7W_?_Y*U+I_<36Fvzo?WH77#4%O7BP{jEh2-!wH2gY@f8<-I84oyvuO)woP_#kgG z%FGtW7zGsGddIv(4=|ZyfJtI#<(US{lD1V!$4nrN*c${v+nf%zV~)*$3K;k-B;-Y> zx?hX=Ef^aNOx5#Ft;xk$?IOTPi`<4mQFq?gOE-gYyS9C>2?cR0rpBI3{G~RvPO*Q` z;_7r89G57!Gz-k7kU9!23XHLQD%y8WD>n7_Di*?gFSAa|wM;Qw^ zk;6;f@(uUq$NrD~12_DI8+NNi$N>?KD388ICg9(?PG7*0&BA4SplHugmFK03SDBtI zjcgBWL@q&>qF++*7WvaVPBGGbP77amrI1I_5;Hc+f1GZ`p>w{0i&Nm*|BB77$m)O= zN-LM!6ejfAH)(cjT{BWi{bH3V%i} zuu;xA@TekrXmhb_h8$h71IZiVq2x&>uW%Ujdl3cFYAFsKog?lPLGCahNVxV#HjC-{ zpRHiOG>Q3e*nGoC<^d87_YtgUs({GxhRF`8BR;&vP^{Oy6UEqIPKCo!j=ifqDG{9n zN_T|fj4ttqccdQ%Vc2Ew%I=4@e%@(4u{DQ1?=Xs12XyXhm8A$JLt6d38lDdVHcS%? zOFzuLBLEG=$W$is3(vi=(pjG6nJK`IK7Aot|DWc&%~#1MgEk}@H9Jcj6;v0Qs*cS} zkG{9+7@|R-%JT{HF=e#v=JSi%qAAh`@+&kIuH+>j^(?aHsBgt_tPTSlDFT`r;iv?M zrUpnZe-;5g$MWuFSHRa(jC*92I04+AnH`Wt0wkMAu+UDJ5Z``3PLAd^kW3&jEd5tq zNJMt9`L@!kOC%F^8n^Vf7yiE;{DNaLuX5UlKeD}Rdd{AFf=o-R@>IXAbClSiyaW-T zU#Z2d{5uM4Yl9b%bsQKg^8Xw&$Da8%p?r5od1U%|Jv^~VfhyCI4(h}^-Vx?gL)j_i zX96%y!UNKJk$H3g%k*3{z>}baMt_tKHIocP4E{RZw!}z3gmerJ0+F&YUrytwzbrTg z8Hm9PY$}kiBvhye0} zdZi1O{fQ8kTkq`2`xyg=|2}|rKB;eF(xvE=UmNug`2hHsKi(=k3lUw^sfAC*4gm;Y zei?atTwgMD(X*)&sB6RkJK1d@<2ob%?{%|eZaz$((2S-9>3%NJgPxeAm0~0Sw zebg~Xkw>6dO5NsXx&(qHSx&9%f`z$b7g1yD(DlW0tUUL7%(??+ZsxnXqyKrirWwbs zx3NVR^)pQsu@ofSrqPO#jak5wTh-b<*X@+K#oPrxoruGj^&w}eXORb4n!3<{)LM_2Dtn~6!2-dBB z<_D8FGSq|pDuo))5LxOJqEG_Qr}`AGZ}KCmf@M>7q?-gm1R}3(fH-PT4;vW1I=yKj z8{l9+WTw;7wRo121-8#GpxF5v5~X9s==AYm;uk^aHMJPg%_)Fpuki8jsI(Ak(FUY# z=>So^jQ_Z#Sf6lv-tnyu4o&s<{%+@yOpapUqP({Qq$1i*2YSUkXQrfYE+Vc25Zlfq zhMI^FT#ahW=gd|$in^>Xu<@AE7R`TwE1jEG{Gg>9wU2sX**VNatWkwPDee21E`-?> z2R=)|;N6X1ltTcyNqS@^D3mxzcbckRm7JPttI3qy1P7RI752hkidaO)PG<;GC(Ij49UT=M6hqLq+GVu;rtfen(z#+1jm- ztyg^s=2a|!NY?j)WZp*v8~=1H15}RVlv6MV{EkZ;`emst$U*Q~J3;dW4oPGu)Z$`j z!SS$M-50qW#E;1ly4-MsGzrT6I~4oh%gGrAR*I8 z*~)Wui~iCKgE%hu7`t$e-rn)R6FCib?{NE#BflaR1gn#63qW7VL%2}CjO15NUEjvL zZ6LEwN)4C&c=<8|$XE_=vN@{G7$6?JJ zJl{hGO~jYL%cl~0qT_HAz!&&eP33{+OCuFxnx~@fPHT#fLve}xiJr2j7rejWlxN@G zsgzu5W56{dvB4B1DFCXO?8o{x+%Ri8eA9ofVP-+`G_>92)YKDs!|*jdHG!f-g2vU) z7vZ$!-2>zrZdrmWSmV@sQ;VPXAwj1$;uYk!7#9jXP|9wLFUY~hR`MSJvhXr#6qFy| zwoim_ogHKP5Dm5VDX7c>{Es#nU9A%(Nr^{DS)4wEDDm&jH>i@P7;0R~^W#lO^3 z)7<^s$+{gQ#k`8&1-b(NhrzAQ1<5|D^?!2Mp~o+kOGUux_+i9(GaPvxo*jwn9_TVS z#mM7zJdh=Y=mnX+pur#&ub4{hs)vJ2!E2Nxy&U@?5vp>yOmV&hS?tI$k@2e*`T&UanOs&j4dn#z?(qC1vV^+?tib>QmS1ZUV>{tLTTGvvel96GU>@B!`(nAal>5PN z2~9D7_-%Yt=IB6mRf}VI{J#K4ao6Pa?1FfBqiH9tRZF7XR8x*KPN25Ri9DGZg;No^ z3(_x66Y`(`jrPZKlpGzTYXhknKBO*dL|Zg>9}ekYJY;c1-tW+U=+I5)0qP>x>o@ns zBMj6=u>P7iXnl?ZCk#!Tc*aG*S+^4)$C;7wo+VxC>*hAQ1na<3Qdfco6~t1u;!JfaXxs2|vI00Xh>3P9K_2>7i_cJ*Jc@d!Cv z|E;F!!OSn#o4=)dj|g$A`i+~~H{sLYitem%C*ORx9tj5&gxv zmuQGxCtJkE14z>N-;Z%uP4iTn$+IkN;;iV--2O22$tTb77G9or~_L zOtnn9iG)Ve{r&TbqS)PgeOfAz?&#S{7RKH!tW!rexm>|Za8k-}9P6j1{0eGNc5ato zeUbl=wEnI7WaQ2y5vuD{Yv>G9jF(#fZ0xZ3HyiEK3x63?+BovpH8el^SRrGjD}>H2qf@U#2gz9-d}qdk zwhy+*2W{dYPAj%27f2vclda_;G2K}JBpZPpAS!G07PJW1-~tw+L~d7(Tmy3zywkz} zhx)mb#2{q4h&`VS2p&EBvykNZ1M1jM+t)W+o3iM>)R~UUDiT*(}-J@~3wB z1|pll-v2}P-fZaIFcdtCRirxAAtosH;!aZjHwaHQ;3xWxBaHfCJTN&=zr2JY+@dfp z>~+hOhaJAz*||D;^U4~rsV3jH$m<4wchP5*O<#^QDQw6dRHFw|nP*M1|9#B9D1;^YcA<(&Px=Bc+)N|L=kG`C%nfj#DvFWpP|f2U@Z>%pV94& zI}V8GZk1wCMn@bDV|e9bgS=@pRQW7p5IdN35U+F>rQAQ2wC3I&j}FuRSJOgb z$LEo~{#(gxifgdIzN=fZ_bY-?dqN~d4<#?{w%Cbn5mV`^)3aNYk(+uI5iqka_TP^> z+G{#Xs_CTl?BhqFypgrD^!MI=n?oWzaVQxI^u^6EGOZRvCn@C@lwlV{-f^|gEJiZR z_VK%;o+Tx)Jv(#t_0{U zQc?~!Lp2}xWwJSetulcQe_|e^hab;hp#j?CYD>-9ng=I+OzkI=i>m@|d(q_prBWBQ zXBQ-1(d{W}3-nW5DmoHJ1Lwlke#}fpK+%VHB7RHC*Xz@g-`pLUe9fOFCHRCH_tX8W zO2&mJC$4OkJ@-<{_6jnH@*zUazE~^6Vj!OPXZsK(l;efkAb}~%Y4NVj1qJBQ%rUwW zVW!!0i?f1yHl!^^g?{WsZ*jN!l&6jkjJZOe$9roin(qP353GvNrfFSN2SiUp-11T=R!!Ad5KFi85%DmyX<>h>jgwI2huUibVDP%v?D~NJ#WVaZuyt4TwVt6Px zn}(E@N9_s+_%8SGCWAY$u_W_)cH9HQ8veNfy&mrDI{}6%C!ZHLG9;W!H(ti}u(GRf zBV=Ijqpt&Xkv%kbS2qN5l`T>&hUS%@B*`);CKls>Latln7V~)&%#`*H+NHATHY@Qv z!=2(j5u?J+w;}`4nx~e!GS^nB$y=~CV%9y0+kU)tmMmf3AcdYA`~B*TC5pm-6*GzP z1pWs6T>u#SGx3jkjd(GI@8T#aW`wbcQ4TbBs*r^7kk82By1bC zFrM%M=lnxYY~pgD#w0~I1}!}o#k@d%O+9RjJnn2e`VnNrwA`B)16Cq?KVFVEKxQFo zB&WFsGpownpGPbli3#DOhmvD0{fcftO>*M*xk0xDb?6Ogf%aFnLDuiSmY+0g{zdv-KU-Bjrl;07RtNb zq}8#DLz)nL*0!;D9L3dqenq$J06p7R* z<;(mO+7iVibg!*^&KadI5&$Twd`TH(QZv00Dt=FnG>|AdBZM|6AVDRfk}~z`UvCPZ z@b5X?MEDy}?Bhv4B>L%Ui{TRtB3_SS$3VU$Vcc)Lecep}WZYn{Bqfo8PhyoZ`>i_@ zN&u#4JQ?zOt$Y{-!h(|;kMQqpKoxk)+kw=oW8SZxEplP*nRlX+$MONT>`%F)tE$pI z4Y4*cdj$O04+0%QK0Sg73DBO2KUqw9ASXRxARZ#@_U)dpz>DN0mCOtK?|*W=e(5!44g5@zXdy}965LJ|cY-F(Z_6L4I7$RD zQhoE+|NM3Gn;#wyF3f!IstnWwUXw$3EYae1qV7e{G3TXfo8ui*rSZ^a^g&K8;bf+i zNzT*ARK%n+d9yG|({#y!N{huGWQ%QF1nhX>7z3agM@#bKMvz}E3>j&)N%OmCWBWU_ z=ow5)|6amSQHbsfCO@s&N*wtt&bwA|{8fsNOh*#<7)4JcJ$wOX`VHcU6|S)ZZ^`tU zmTH|9UmI88KrQvk16Bhz(dYpJbu3Z0Y5pfxh9hDlF==IpwOJ|7Q~?EMM>jKDp~>5V zP;SQ4(WVvZ7n39H>_Rx~Eq0hV3gyb0^f^UIxj!QaUa1pp8D`WR4e}hs9hLg+`HZ@6 zg)m<-==9}BYIDW0T`!sM3g2-&MzPVL73tQn!cI0_Fo+YQkViaE@ExWDDiW-Czu;HlFc6JcRoY;#{+g%nc zxrZ^}`gDw!t)u}I5}Xm`ytk?Kl>>(KAyu84`8MWr>#9>)-?r$s*R6i15(E5qj*{<{ z9YL~{E4!wq`2F?fu5kvlbCdQ4m3A|`AQIV4`B=>$B}w8l#A>;}rn(pJ@c-RQY3nat zD#yGo4x5)G-Iu4IF7i>Il8$)Mf{N#*)p@C%8eWa9g`7Y$DSFImt%SP_BrdvLXn7Mk zi$_}|d%;pB;9~Y|k8J0jWI7jPL@YbIQsIh>pKU&_79C{eUh;o}K`u#rg2JOu?5%Ok zyzcPbx~{H9tZ89{oeDC_&OPv^MDxQzrTlKM9W=K#%0os>?Zu}{cE-QY57Hm@d}*)Z z`QL7K-Lp$UucV60!i(Y71F#gr4`X+JNVvDxY`K$wMHA^8AcM(tH_P+x`gpZx%9$?@ zkHyr?5*wQFf0yo(Y+WXaHNc6{CZ%;1w*`Km=_k1E>)5JMg;KG-5qFUe+|s$wNgu9h(n?fMf|#9j-}^)c^8=J(u-$?(dDTCbh3+tQ^X=`BJUTOVV;V3RF! za(I8mcfM&pr@K9$nD^voNs*eAt3JOdNZEM1V$dFTOQ~#+owpgW2LSW-#&hxboZWzA83jb%ah^9LJn4n&uMYc72`oEI|4aW3~6 zU;n`aYxUh77P-giWxm+~nH=NBk^|)nE+E6iy~w+F%zCTRoxT7oS>J`z^{Y4i<}sxK zA$qnaKQ6gFMBPoEszBI9F|R16#b9#Q!7 z#>Q(tYumkrMl8QMJ?A`<`*$RMkN&S`$ zCzX2=V?SiuKPSQH&{FH$UI0h-h~`hrEB&oRCXcaSC8joxUQ(5rozRQx&0yCTy;M=V z!idj>s{x*ZbpdWk@>l&FS+#c^6j+XwQPU+<+Pd)n9X8-(M8k9cQm-*!YjG%q_#^RF zg%yfxI1AW;LzDG%cGR^q(=FL6|M)o>4u9@@C0p0q@fn@(oGxWP^YPdyM=Unmj8K5M zfa9q%9x}-*n_9ViVZ%oZUkkhMs^1E=Hg6CFQXmQ!9pT=of~3KGs~|$>@~$QGBUjl1 zZT6){=p=%&&d%QfvteWFn%>gtSt6)6`wa-!D%2z*MB*k_UOI5;S35hKT}xzGP?=55 zlA`SdA?y^AnJsS-pvn8WE0@IDB0%H6Rs&k`8jHDkEdsQ|AiQEbS%MfTGwu&-ba9xy z3~B-rPONZ8kqmqwH!G1%LbCnkp#dqcG{%q^t%GHtz2G}`vQoO4PF~9MW~3-m>>~UW z6I&iP)rGlqZ)eciB&XRF(EHVTkb%HFY7m|i3fn(qq}PHO3e>n9Kkp^70meQbs>Y7( zPurX~0&;%HwPSa>8V4?ly;lMAf1AvOQ7>0#bDO^lqK!Ba|Hsvv$3waI|Kpw0p+%!Q zp;Fou6;sKKiXueWw=6T076~)cP#G$TBZn-hB$Fk}l(EDRW+*4Ev=U|*MJr{^DN%<) zzvrd<-1q1A{p0j-oH`ZP^?tuz&lNl>wUA(iEdA}ncPV$L(j9F)Pc&O0u>1@)9sBbg zmVb*sFuj5#m(Ho#TJ1>?LjCh=*xs10M*4*OLK`Uf$3|6DoIjw>o4}1KvB6yyG@|@m z-<+Rw$JPfLDZgCIHY+x~*OiL0_vX+`eFuYVr7POcPeh8Y4u^T?B zPE!n-zgCy4&Z)VzqW0UGHqV`>9;EmOdC9_bJiqYI7&#Tj2Cv|ij8B>`RPF6|Cf1pc zADtP-n2)UoAs)_|xLGQgUECU3$tsVu5}o5^H8{rLC< zF3$rsHoZ4>^ZC`DV!gtMEc&DIpr%&1>CZW4Q0uBpV$n_j_h*);tfN!^OTiqwMJK#jKsadR4EgJ0ou5YZe@O zs9*OzPiD^Nd%y-Fo%N5005|8ZboF)gq+Y5{c0}CX#d2qQzdbdytuRPYfw_^inKW5j zE&J-dH66IvCvA%z-?%PUt-X+MgG()^Yqd$L8Mqv0kDsriVr*iI&Y{Bd($9SwgJ}I@(v==T}=a#YbrT258*Q4Ay?RxT=u* zKNIuVU!mG~p?{j=#cPj);*q2RzdWgubuVg9PKs}-ZxY$x0Jo_X4aU4cB<(y%$?n{0 z?(!QPxR`}r*P3KrRi6|D@6t4gIQ)ExLIXLmQ4cM0ZfM!vwm=x>LDfoP*PZ)+TlE{t(fU6vY)A`qG(9ruRTMBPO$~ za|*=gsgBzo$AG@Um#8vl&+eiMefUP&Tv>NC3yuk&&>SI z>*b@(#Km!=a2lkw`ebVNZsR1Z4<~U*TMAnAbbVIwI0prSVS2yM*H-^ zjtC>PhG_ww@<*!Iqcv#I9Te4G3-H7rZrA=6y7K$FCEYCRwFZ_MNyaUW=@(6Ku=-&@ zHs21yBaqPRk#$2(pJNS5=ZEx4*QGD+HcL=#J1*Vi^|Fmp#Vgvy33_h+EM*2Wx~Mia zME*{`_wTYh-isUe&!csxx5`KIl(R8oyM*R{iks+CO@F5S^_(}y)I|3vGrCfXQt{mL z+m53By!AZ|{)Dh>3C1N<|4dlI#O(!9gw*5h9Hr6uvvWB%*}|DWp$;w#4lBEDbR}Q1 zixeOSV|`2ONOgrU^T!0M-Zo|;H#_4(UDF$*Ef0&`FIU+_^fWKd+T)%vX{Cn~jQ#w0 zFIGIRy%aAw>IU*u);oI{=7Gy=4i^$?V`RyW*OfyH`3$cmkNaesmgb|gVTD9TjwOuO z72a1AY~17dC-nqxH)Q`}quZq5MAW*E&WAbT-X=t%)CPSgBp@&WE-DPd=#=jDV?rAP z=7U<@se=S!U?!!30Y*+#yg*LwXXys?cXOB!W^5MYw<-DLZ)edGVr45stX0IiAf!(I zB9UtSs&jbg3Vrj;)BqM#n*i4qzwB6ymw3FlzHms*0H^D$J~Dx{11wW;C)N<#=jF(~ z?t$cyUPlq}0Uoly9-Q{8h7kAGhSk<;%$qSASEnxN@Yu zD0YYKU*`Pkr48_;H=FK1sQ6)OiI3ODF&J&Dyl6jgKMX>xl<|RIk!%*CF{$tm>^cB#176!pjR!4Cx zgbf0wAL0%3Q9g*Rv(AC=IXY!#itC)LAK2c~Nwuh+fgdb>oPEz0I zPiv`Q`dXVwRyj*b=8SvT#;gfMuzun82#6pd)bkAn z<6E$n&^kMrYq*+f@e^Cj9#=ToJrSi`pIqIRLX?i+#)3iNB5}!8m_6(&4A1OQxI9~{ z^Lzb`*vj&zph0QH~QvrrMfU_IcK&?!C+I74$ zS&A_7QMH*Uup{aI`ZU=ZIK*1$FaRjR-uLJax{h%W6apQ15fCIWh9c`-?jTjy%! z6a_7P8*6{s965g(r|HUaZ7Wap86RCZg6+_VlBN*>juR15k%V9KSDL1VQlI~1YI&6v zea`bf@ZHFp^ZBKN*W*|aMJw`+>qQ3F#!VIp7!jq*yKoR z`BLR2y{vg!|9-`HmMX4>SWSozB`U)WTZq1h*yh%q@k%PBa7(YR72udTC8 zYZcme*tj17*Gvj$DBYlv_R}pcim%2MQw++;_5IXiewKP!NqSZFrM?NWq}1nm*25)F zth7}$2J>v6tc47;6`cnrvJy}MoO%D-&(xNm_*)nlNGXfBa{ znUzS4fL~T*!=II`vTCa__tJahyTP)zt7g~E*BP~h!NAFBPf!9A^DtGfVtNJ!-|u6$ zI{Fv#4eDQp7X2eP_~6V7h0R?v+}6*|1fVgv$b3?uTqbwu#Fwx+Xg-3PxpT&PqLK)* zWwfW`mLP&pG$$h|>Mr6LT5I0o=n87JUTJX!aYnVmc)~0S>~c^nC)e!^EUe}Atndjr zTuIrUIR&wVu9(-m%wQZQlm;^e;~{dTn|7*io?KgT75B(Ua}liEP9plFTc$*{8zUH@ z!5jFD$<>~v4*V#W#@Y`evYmCz-ohryTU2iwX-n!wPtA0i`O~0g*S)Fiz;(;Ubwmu< z`k3BvP`^cCO3!jy{G$#G2F!mlclqs(% z^vHRoH`Vn-l1dHdsdO1S1I`7%dPYZ*ZS1j&>h_9>7s5E9Yp|aRq0EiY+(;x8kKVb8 zWo`O=DiD$b>`4K+*y(#^#}`+F$a53w=0M5o{TN<^v6%znVX9t00qF9}v*Qaj zN1ln(KSBoC?;&JH=NHQSM$AQLInn&rK~Q+$RV($8-4#ubRVht~>bXRGI4SDES|dX}>DycB7ujgKoRYyaA|4?hW)*sTjp%E*o1zC1b8)30Z= z`S9fy)beJ8f|XwIv6B_iGmm(wkf;a!)o;7i-Z;-L+D6d3L?vGsc5=T8pP&8^({7NZ z;}kHY?WUtxdx=+U(N8N1(ar?C0yu`Fcgqaz=a6fpJU*zf;)O`K~a{eH5{hC4OjN?-S09ZXMdIn@~fNXZo#~dW*c&#Hku>-U27_(C9?-Ksz z1Sx#cEsc*R-+tvElAOb5!t{%5RsUJuu$WxYUp%MC1E5izF7U`+b`iqw!USo$l5!0& zN>;RT*lB~3t*c%!-X0X34@^v`uVFV^xB6|pyybBw*4<82v`f@6(U9*)&dNS2Ak;DN z$XR2;4Yhv$mg&oV&{4?4Ot}CFC#ul%=k}UHw3p)}wM<@8J#KkZ>1MhcLHzXh!yRe! z%_`KbVdyUDzb!dzR<>Y0ySXQ|UtX*>zJAG{wRbha8)RCyX5|XVF%0OveX7!8&LGyW zjZ%aPP0YppUDdc>)uL8^k*vX&s0!GNT2EJqAy202<;dCIC2_ot3$95{VZMx_P8eEr z74j`{chGkxp-rxuhmffXLPxk|If0cU31wDk3~`1myLRvd$%7FWZjtc~Ja~Iuz+hVJOu=WzO0ad5t{!mlSuDXJXO439-%8gQFqI@wRQ}P}6 zc(6B+?=b&&`rsnU%L5R09x5(JG}MF$kcZ5p5iqW8OXT@-Qxenh1xv+0 zBwFXHk2yz{H1GLX(Oq#>Q|Z3@?EQAb#ryQ*Oc@(`Y#EV}JkzSC=2*Qr?YMB0>W=*J z*N7E*zf3vPhgQ&}-=Jlsy!} z#CUbyzTv6Y7roi}XZ
    D+?@jBm@?+jSS# z3RwuJJa;JB)hZ~2IcGJ?SD-sXRGZSLK{Vwc9-p9xu_=d}lCbU%rKKsGG90~`Y*SS_ zQbMOb`g|Rp7oOmzN=l8jx@!OIO)L}@B%Y(Ax#rRDQt7rQxe$aPePzRNR1njl5#edE z7R-|~(^*}zL;IYR#l_n9BlTT&&iTvLy!8BII-?EIZYNVXw~SlNdgU>LB_-c7n|&Dx zc?kWX*<*5q(3laR_@bNm>pW10Bx8)r>k3G~{p^lA=wuQUMOhHWx-A%Yiu6jTF}=HL zh6#1l6B#d#Y zm5kDBsD(jI*Y;$2yi_l!r9F=+efnXX7uEs@L;l!lMQU z)i$QLC|!0fRW?Mgr|!?nFd`8zY}`RZYAMV1OPS{1)t1e(#wjhU(zcU!){56>T-ZADv@tyTWIqjWdA znzxU_wBnQklOqC#ie{j|{z}LR9g-Lq!pgeN2IC;*HYhiHQr~zj-Z2QM`YT9bj3!C32(TTJG>|xhV$21ZA(VpDcJgsd4|&kv~k|w73-87bxpoz-QA?)h);iYYU#T= zYif~I!+(af*`K{MUFa9|$qL%<+znh4mg-^}*BElR0Kr8L2$&lf|6F6?`v%$_Y*209_|5yagA&Oc!(I3(aN6-s;v;?>HAVnpk1X| z^rt?64bD8pXa+jj;zk2U?)0ji8^Y};nkYx| z$hjj&!h!`<_~)L}JGT3PuG;G>_c@<>9(k}o-{B6^I z*Og!>ljO3ka82yBWv14yY^&hU8L)DcN|FoC(tESB2KyoDV=+E)$N!jC@?ixK^11yj zu6o@Q8w|{iajJ||$Zlk*th8e+RGFDA{}osqrG#2lU+mk2;jH~rsozA#L~9$;(PF=q z-02H=e*0h5P{obbvKHo^rT3~{@dTeKlE;sbP$S6qr6{OmWl(aZnO)0QTa?H{wC6pD zs`N~e>wlC;<8q{3t|*7A#m$lTU*`9Tk?Ea<)<;ImBfobBwWHGMQrCgwHka-+CDfln zd1=+;dl!0$x1^wNs-l$s=*NVxBtxwdE*d=tZ1@%wb>)`k8M=!5$8JoXh%}(7%`*u7 zQpgQ(JRM)h?;5X2!aNe7R2{UihS+B&VLa{|Wb{M# zXAR0bZZ3?q{<>M5E(J=uuIjENI^LAtiKIOMwr&KGRKr4cBqO)P27cK>lr88#3d{}) z(6^U04rcE~Y)mEm%?p`{E!0M&hxD%+nMt&q5oH`YGJx=}x>>lEZG0 zzeye>R5$je70CmZjY$piAH=D)$pv{a&3K<-Cu8f;={82I@XN=$59_|n>bj&a?@@UL z%;}62?|fwr>?reVWxl}+c;YipMCF^HIvzmOJ094Q&>npZ>bF*Ji`$b_OqV}rmr`9p z-yRPQx?N)V`jgDWvN)pTq-1FR2gV*Wwi4@$^v#Le{g8Yvk|`2<%y3ZcP!!jhP#9ii zT5+}fGe52Sb|p||+ZgWh@~xJA79zM5weS^UdYAcWvFyyla+299Jq^?6ANKh2Rkq|r zh4ED%rW`hw`j4~5&btsV&=#gr@w&89zk8M?{Gu2PY6UP>E@8x(T3GZvZLA3q2HevV zDNsGN9D}b!jypqDX&)k3)=E^wi5$$OEgcrHteH(_B&M%Q*;I=>jpIUry^M5_Qkq(8 z(Whm}7q0N7PPMqvn9z|Z;7)rmyuan?M;l6qcYZ8$JGCD#5Yt*_H9r7N>%+nVe%tZq zVk{pvA%E-uG488XCt%4eR+3ZNZM`OZKfqB}bUolR=j;(icpZmW$ zJuZ>waL;YbkFszrkgy?UX}RxI*7$DQoS^Ax6?qOd@CF}>B%?*MpyD${tq>>2HEqyb+x#l zWsksHoX%=|48cp}p1NAcZsy#_eHs}lUh^B@V#_~0u6e2O4#r_i)BPZ{_?!g`gg7VWJ-rdvxA=eA57@;-8 zcw|G+ZNPS@$GULKo;fKXiqM{oZr5M=(|Y7KgVkC-vslxFcPqpEj(`lydSK^_HPYJE z+O2pZO>sUJ``vMXRN@LA{iwgM`bG0c@9b`#cG%fY@SiRmX;sq)#~0!3q(<%S=}^omuO=T@S30`d{=?-RHq-T1 zvoL41CYYD6mWXe^3f(!$lNs$baM0jZzd+!l0#tf@-1_vPMEZMe-b>gV_vIL)HOcA# z>laVD*1yU*$byceMrOWf^tWH?vk6ZXF|di-s;0UyNoi}m?u z0~}f$4x!qG$a#v|vV7l_nFQP66uka4X%8ms!p^Po)QB(| zXu%H;*#A#tm8Ak&@di{eNH-kwijb4*q7$NQe49+9*K@5{i-J%Ss!cPorGc>w)2jXe5Lof$0kaEs~Obz_aXoyH+Z(Wu7BntvQH)T+{IH*v?g@KC@?@CC8ihq3LUt8+-}Tv z25-rqglqXl+s2pVn-Skoh|FNATJ$jTS%D~eE`!E^`uY#NWw8hih0{E3 zbkd|P#B3jChQ*uo$7F3KW%RGjlRsZ>QbxJ`y64uMI4uLGs#j&Des`LOGqlgL+saCr z&n+l-OIuO37A-m)gsrYH7CPiI zHGfPfcyvP&w?N}#zq;Ot8ZE&x4dJcvnM^C%-^mue?&RXk(Arqq-y*RFr3bsKgtVpRikcVznKEw-re=ro35yevN*e$VYm7Z zjeT67BfI@#h!W<(l6-QhUOKEW>kFM%rhu+u$z4otG4u=f%VrBsw6WWGZ)CTNe;(@D zpsR`V8ak?wTsYZ%ME`lhe?IGPKJs6E`g(Kp)ybpZE`7Ub%gi@2j1?3vPDBOP1tv9A z#|I-sAMDiVVdKTa0iwgXpRI30%nwEHO`{OIZAV44)bi^nGu`El8+XggMdI~{g(N=^?GWQ81N-h5`;HN zzb2&Mk#}jlj<&8}Y#Qqm^FQXjPP!A`|w*5|EZ`t+lQuJE_ z+tq^Th!cZTzOXl~N%Eo_9PyI_b@a&d7s2TKsahp8-G-5hvPYn4WX%vT73K#NTz}jt zG_`!nax8Cwi0!pG72F6ijC23KI{pF}za;1qwT-tkGldRUf8om|TrH-C{uLPahA+Gh ziYLOLpfg(q>GvseJeQK-hD>Hh8D7Gf*+eMk!oNw2{(bI=Wn#vqbd7;B4A_Cm+BPVK zn_K>-Z!G}~djrFor6}QP++6Wv!tXL((vrAGu@Hx^kBM73k`XKgB+}{ypL4?Ui)L`-lNPG@t(*Q$Qr|plpb}S7K(@x$6}G3u#Nv== ze}L7kKM(Z2-1t(Qt@TWR`lX4LdJ&%gp78%W>;F{Usj;`VsGjs}5bf%W3J~u<=eC6@PTqj!Hb}bF@xjAfxzg3Pvj83OB!6i#z=aW?;+j+vWirA%%KQ(DHKH4C74d0Z~ zM&#DQ@k%__-SI8Tghbcm(X`tL!8tElnXJa2_UGQZktT9Nc0AVI0I`JLNGZ+A_~!Di zdd*7#rlO_RS5#7f@uu%@sTC|mP69jmEAQ=nm=S)?He!=ZaRM>|t84%rG7DM)FX@+x z7ByG@<@jPvR!2!$J#sr?Eor#QBq-n}2z718l0}1}bB-h?*5k;;Q^H%Fnc)ooCcG30 z6}-eOc99^Chb^~f_DiO@CA&;%tH_1N1#kO_LtEPh1PxYmC9l?otRn8<9rK$D!W7NkG1Tr*f%WoAO#}W!a^o@ngbv zF?q;GWr`tthh22EpN6Wq;8lv;pYd0H+=f@cT3Q;+iT+k>Rduf%gey1Vk@Yv z$iX8G+>MOj@5B2UeEa|B0k?P0uHLm({J)Tt4c=Wxj4mIkt1lsn%JZ|{!z;Pduu~JA zCqK*|YjR?#-c_ftyTB1p^3cAL&J>a1ajI&ygl6rUEd=f91 z$t_6P2d1^i64_U}eF6hi0n|T$7ov8Jc>1F`y<|{vk^~-yVR8P{Y|(27m&e{XE5V5= zKd#ElJpftbF+2iElY%~m)dzqG_tGK^rPK@W58tg{nL=lDV~l3+!lU2V4hk&z>BsyQo(sl`{r8BZ$_WM)S@L=4YTe3R)H8`3j=#ASzN97Lo$g$=-p3ht6VBOLwwli?<9JD@XrjMu{Q$#1$}LER z_OMUu5#;&ZkVl=;{|(m0%cc`PuDi{TXKOy}qm~yuM<)N{>enao=ek-UZ)YRCSYUa9 z@ft1fLBf0B;lbL@>=d(v@xhn5bhv<#?}M)po{%!WfL3n#0wMrTbzQ1-_mm$KSlVhb zA`^Yh^5v}VnK<~BcV`wx?0zjiUP;*?g$ zpl6gNP)~e%uq!Pp&LfxA4RtSRNdX}`jQ%mfQfsp$O-9z*Ru8Aj?r_HOWso`A_D)rK zM9FR4EUh`k5IEYb)bld9<(#JL7r7x6o(Zl|ui{PtXCA`{x8?n7ks0^NWL8eW%yZZ>B++_0Wz_x;eWeDU@eMY%vHkls z_MjoeSX_v)#=|dDZY6bHSGk5V+KUKtgh4`d=^a3ouSE+HeE)hD`rKpj?H!Zf1AR=w z&qgx$r3e%@T@WH9z`<_M$9&%do<6Eb4HNvB5P>6E_VU`HMs3ij^BFzJG5DSnyhYT< zeVkMPssA5`qXN_}4HAa7%}{>-8vZl1BCme8+LQ{iX9HJc0keLBxNTuR+s5hl(i9$o z_<6bXbexxSh?3D0mpti@x==6~qOO-X*B&GQ5x)~cXYgI@;yEO2yam_Sn}=n6s4g>r zykz5jzb|i@F2@3_V^sTof9Io$)+>({G{X>iNF1F~2f2OzDlgt3lB1v%EA7&yILbMU zg94tKpkPpUfyGVT!*2$Cl3lmmLI6!ts-dEKW?9yc3Aaz7_V8(Xii66FzEZh?>Yw6MqA>CLNS8=`C$}ftG}#&p zG0hhnS&r%^BpbSU9TrY^u|9z-sg@>Qz`96zNDw=iCj-rgZ0t#sgO?09d zQpXr^LnQB5L5oZM30^sYrM~ofspVrfFJUrOyq*lXhDvvYf$&l6{-5B@hP+Jzru#&+ z^7OCXNWD^VDN?wCp_HBwAzCpYe=UV}u`wNRj%q|K?V{uyd*2y`Yqp<_b_pQ<;-fAI zJt?Jou$~p{nm4I7raUT;w$Uz?8Wg8}*;-MU(H){nQ_cA0K)G+;8+LqaN4O95_E70d ztimacm3pKC=ZogNxf^YER+Ar6uj&&#!C%{R^`TfH#Gj0C#>9V@SVcTVw&92`@&3}5 z9L2G@^xrMk_${^lmRTTN%?i?0i*BCo{&mg9W|Ils#=HAwXA$*`m^kXgjO)iE2?@*H zBn#hI$T8?O9{|cQnGu`Ai6>0VKP3iA!L)chwr#z*0bezT#G(O12Viz&E79FzBhAxB z7iF(c^fxpmO6f^BQ*%*Cqkw&OGXS042Qcc_6%!V-!U%zZ%8R7fEZT~suj2KwRq6}a z6(OhAi|VLc&-YlW%dSPICliy79;V*CH%qc`S`O4sH^Zvv**`e#hp49#nzA z@^}})ppA8|mW5lHl!xZ?N1bDTOqg!7@~Nv&)#Gd}H?P3PDx(+W4!ij*Yt=bVXdR<- z9BtT_etNh{TfDvo(RVC*$rI%4yTD`*bk$RUMv6d4BWGmIl)P9ohGQ_21%&r~XP!>e z(n?F@iZ^(#?0Tuc77h@@UEfOos_HV>)inYCLHR4YJpZFe}CRHTc(TTiZ z;sM*nFQ6Iq6E?z72oZ+txv)E(JdQv4R7Ip3j%zUFNYEuBmC&g|xtP$TIuDsACM0gO z>7|vw>DDOW`(-gDPZUpbiYXhbZz`EpHAOS-9_T{C=n^{|>h)P2y-GrUyQJ3Z>z)C2 zZZ%8A(dL^L2ciXPYyc;8`H_?-^ousbY{^|rY)f9U?0AtIpNY!vmFw@@RuY^T%4?*G z;&im>3I9cNmfiaHjB-vpiQvF_9NUj0OyWft>GNv5@q$g0RPfvUYEx-9K1rbe<8>|A zwDEa??Ap9%q8>^K#ZW;T`^JFB*S*$9i)q>R3G}^{F`}+>>>WXF`P+JEH@2jeUDL8w zEb3S0%hV6m46>ug-rM%>u=(IP6zBkfU~FK-=3+x;(5^W4Ztm<^uHSLuckdoYB5}y~ z6858GO3Rw6N#uMCvQ7&Ss3~5Wg%UDY3 z2&R&}i!-&;Nj=iKck-UB$_u}Ag$VtNA7lV^}mFU)^9di%$A6~ zyD}`Vm~U+DCL5mL$6hjn-F9P(sOxc;%mx;bO;<| zv9w0==~U+p`B~P5QRW-r2eO>jq8a+oB&Cj6C`Dbe8m z5IU4;oIL)`l@Yo7jS~{gjL zFF`d;VRo6HrxH;9Gj<)dmeN@r55CmUWTI<`JN%W*3>#>>khOoFEuW#XGISHwPyw%8 z8HXklUp*bGA+8!Kb{X2f)p-2T&T|;YU@!r9@Wv}$?3$(v;{SJQ|NQ94p02Ubm+kDq z;Pk&5MkLJmbk?cV+xdR2|4a1l|#nBtihfATE}PKO90BgS=Ln^6*)r~y^me7Yxg zA```O5*<4HKGRGVZ#l5qvU5xeuE_kGi=V5MfS9c040-i+4d!^iJMr4;9F?S9Qr5Zc zNc)ZG!^m%U`izAq4dqk;lo0LT;axI?%&1;n`2(QLqa8l>0iN|WyOf_#FVV>^ z9NHIuKMNc1x*|ERbldF72)=h+KN5&1Zd*R+USjh z!$=~^LB>|9|r0-?ptm8ir6+;F<^RTT$6aD z?tB2O+laaw%RGR+?ezB_6C6^@qop=W9>vhp<0e`@Wvg^YmOY|~M6$hv%`G7#o3rw= z2;WDX`iCPv&&N0NzrViybQ&^;oK0iO8i)fv?e&maWLi`+5lQK>l|LqIT3b(1 zpM7qM0c{hM;^#%Vr^BsDeLFQs+NZ@MU;k7FSc*U=7b%IX^IVB#cdlF?NIU3H>e5%t zmWx_fma{DxaVE7EhD_Jt?i{*FmB6Z%ii|J9NCJy=LMRNm8goUfUW@Yo z2&eykv|wKMk(N<)7?U!R@U3@W^3F*mB~?L+&Jw-&GfX7tiLQ(tce}1s9heQCrfI{P z$%rZkp96G!#T*Ca5x^)EpHMIac~W2=%gtvmpVna_Y)TiQUgUJ%7}~A&m+EknXpQn%k-|W7&xZIGA?=w z*2(tV+RZI(t1$f1Wd5!e2<0IKo4iBn#@a6Z-xOHZ9fE6Ki`~7NGHQmmGP$S5Ji0kX zWF1IR4-aOTF>!sj69R#_B|^{odv?fK&6vgz{@U1;Ai=A^J2NY=@>6XNGf_g-6zZ%C zjZqmf&0iI6t@Cnhv2>e0X$^SS@NN3dFig?aojN zKx{4N=VRxy($-fitEvyfnH#)CcnM4pMO_!z`o=n5=;i#iZ0oH!KKoV=aPPeCk{H{(J zuby8pPDNR!iORQN8FkCski*$dWm?^HtQ`k$)-#*T`Qn%w7;JdPNcjOwLG9&ATg`6@o8J`fiYLkwF1xSI3rB0{ znsqrn{69E5%8S`m&Jq*`(QcxsU2)*U%Gk@MXd(A;1d;Qci3gvc;tlC@<~2ejRz+l< zK+^#axi_~u;ViM^3xSCz`@s#1Y!}l?T6qYs1h|&iO(ZHolQru)aX)CBM`)RAF~FJ5 zBqg5h>F-fjXbkj*4qmVw zk5s_FY%a0L*yn5QOxl-6C@3F$3!I6?nyuH?<_&Qd9uXqH%75p%KC(v6dsy=O zR>h}k2~kOY8Sq6pO(cAD3SMzSEFe*YZvR!YH9|IiO-M{oc_y6|nt%8{XJ}19n{Eo- z{N3HE=1w1;hQxM*yKXaKk`=tOo^EBjFgTjKvZ~yZC=E7TEvE##oZAS)6X_cY}c^-q_Pxj)+3)zAg zoE;n&M&utm?&p})dRIsnlxewUN1RWvjLT~jbX8Imv>yq~Thz3RU81@c5Si;LoS|LN zRR2GZI^>f+=8A)(^W&qvnX#E1%0ohVb0mO&AmqYuEZ_NIpMm|GN?Nhr%(yf?Ui{j> zEFxF&aukN``zVj5-B0oRb8mK29fV%nfi|+1IuTPkE9A&M3SxomcpSin>H zZEwMjr!9YQqfkKVYivsALr#3G|5N9vO}Fe;^5+hSnu%oN2Wyy<5NNk6Ex);idP#U) zM`6~kG~pI;u1jD|1XF;?fZFY%HidGJPOXY#Jppi*-$KglQd!^gwV?exM_HC-Qq|N~ z$+>d_?=4V!@{slGY+j~uejB~+ON1b8?|>57V!$<<&)1^*L`3Je9?=7%Gs{Hxr8I6i ztEzI{pKlxJXKos!4Ly&$wROhT(1!)bg-*SDla9 zm6BlLyhV(lr}s$OrO(g%T63MtCCk|knQr3b+LgAgc=N?BRdk-vs16RJNfu7M<|{IhmvPo47<86>fz5RV(lmNT^X5w zBs1eV7KpMTuY-)4sAQ&BI2&nYAqZ~ch^c4SZGH1&?sN9J6S*8zua9?_E{EU)0LSEw zIJJhAg)1Pg(SBuONA(|$R07ylyg$i%FHY#-~U6|>tz7E)k{2qY9o2V@8n#J{%~Zq zF>nTiTWyk9e`m1xLLlqEo?~x-kr{FQn{jemRyeF(pmCGz705Vgj;)9D2s-Kxwm$SX z1x?}&7+1R2I^vz!g6=fu3!94{5Yw?7=8||*7YS7F+s*|`h|!jX!M#WmF><)2eAdT$8Kp%+SoPr8Tyy`-3^H(Sf7LT z2M2F)(+9*zMHAMYrtn~!>3=gW)iXltw$js{;SEk&OIzE0HyP(X^3d48aNL|8%z|K)2J8VGsF0`Ir|bPH^RG8O>VkGz~SRl2{iK4 z?xh+P!G-1YfAzx~O>YFBk-BeRJuZ}txq13yXHQ0E<#eO8JP~<)jz{zIo_ENMbdM)W zDC&Bv*C_t^#7wNA01eb}4TJ+a}TLC)rUy1XjwPu12Q_1%)Y zZLJA%BoE5ez~mcg07Ha+hk5v|q)STnD(2Qxv}G*JNf_bk zxvFo1*)@uahPz)-qL)-}oSw!?6e?#1EB#%3bfayV@Zgw$ydn=E&bkNyI8#;mGjF(V z{zMIE|DG!g&pe`fle`mM$@J&A4Ej_WG%!G`^fYCby?b~%*Vqc)mrUlqbuPE+e9BH- z_P<>9QhZ}sj=84{1Gd)o+!$5<@>g7aQn<;T$vuw5Y!Q*Rz~Fh_DD`SXyJeyvvciX3 zJnG`dIY?QxPJEMkyVu-r-8?+yF4r>j{46%H_e`JO@BNMaHqSGG)lhDnSKq%UhL~6c zTN3CV!9%6=8>V=He@@n5YO>LeQdFxK@qa~(*eQ=B^q!d=EB?mnQk(Luba~I%R@X(D zC~GE=!%^uVQOE1;<3v_t0q%Ale+SUJaJ>>}C*fp|X8ys=2ip!8G<7K!wUMfy4>9X> z7#wkPZ#743cZ&f7*w~)>tnpW*F^`#%ILodzQiinj_oi;wLQXxhs(6CC=X|8IU>CDg zhrw$WznGNGHY z#|{Njo_uT4T<-K~0mIM6-pCXSQV7Xb)2+#pnpCs0Rp51KU9W6?0GDW1YX&`~mq}`N z*kvdcNK2kvdIUgwvE`D;T;m(qTjx~`GnENae|v-(+wOgB_9m@kUSGGI5}(2eW=$II zmOo3(-Ju7T>t8-lFWG69*&F(pa{Cpp$UzX_bg_{E%aaxTqW|Z5%GKCOj4}I|f)o^M zi8=j(Y^&eF?_*l7XNEP6Es`iiUpX!r)Vr#(i5aBDn0kaZmhfJ{OW7-_^?vt3)ObDA z*(mu5`<&kG`T-C>A3^N2Hx|3DrIegJ<5=7Mm(;P^?)_dXV*yWf(rsG7VcRE%_HM4n zm^~f~A&0EjMT9YrQvZ$i?*#E*p1x({mLtiRtJ^<~8fb}F`*yj;?ANhYYY9P7$QV;Z z5f*;7%Q$s?yk%u`s_a3&5H*lcZIR|kE5sh1X)cZ%@A&( z3Oq!eQe!Hhl@f>nVc`X4vVLfN>`YU}ab!gDj^(8%inVQm={>q^#1iBqFo;{4H#}JU zzzxC4+*4o0gseawfpuZVgxAgMEInPEREARv9y&4?c)yIC;>nI9V88u&jdyK;>!m9lihPG+W_D#{g)cmP4JtZXf=Y1@b)8wN#`V6czsO;NIO`|(KnS#$$>QF_wpU4Pd(Ce%x`Ae3Dlz`H2qR_QKRA=8 zPjuZ00ehJIEtPM7a&~jo{Dw_P`-Bz)^hloLXOWs*MF0^rDXY%EvB#cqi4c3U2*~)R z#vjJJr4#ck1vu=@0jnMpj?=8^;F5G4V| zb0QmCl%8y*XFMc2Y!T>~Z&ajoTYnZ=r7;Pn&w>X2A6pQvldNWD@5TV!+f!QsSswB6 zFD_(BxG2(TO-JQ%Bx*aTG=HAKWVefJ$N}x_ z;osTa{mfj89d#Xj%}vksS3AnG^P+vZsn%K>b_@!&GNI?E^F#&dS9^^k`%-Bl5W^LX z@SHZk5&O<*oG4iO%BKu}W0>Nul=}U={#Dlh-IN-#xaop1lpKV9^*NHdHfTPscqaY5 zZvQ{`Ao8IqtYs^61`&N+3n9lAuF8uHio0r|D`V`qFpywU3}ji zn_tMz#NH2VW8bvJ9xU0xhmbX;HE>RYxzUf z@`X2y3)JL^Q319&neHe>^oXD^R&x$^vx+UFx~R9Mof$ooCK(q_x(^YuJwf46HjY#+ zFD&@Lj^-CpMF#4be7{_aTQ=cVdfmRlNybfSh?kUh2DN@NEh&T!wKeODIILcqv}@s- zaY#GN<11PI8W+5uz_|F6%4&}WM#k(l{iUNjqK{r{o)4^2;eM;0^i9h=5@$k5%R&lKB#$gb zV$J_0OO&d!v+u$(?!?)IN;d0b$ECK5=Zq;ygLgTm+T`=^%wpYXv*iVRy8yUIT@;IA zVSCtWbVG|2EJGZcM(%J6x4{FMeGg@5QlIS|u`J`JadT)feGW=0J4WT$Sp@0?5fSpE zC9Duv$fgkTwgboU+_K;WU@E%yR@~vAPGi;LrH7`o9|>p^0ODkPD{BP&wxwLvA-t+d zd?fm?D%0Buw02zyf!-EiGsXc64b=P8HwOZWI)X2?o?Rqvb=<>nH8$NftDAhEzqGFG zP_s|tW4E?1f`XSQ4$shj?@nHrBAtJ3wudhDyb$j-s`r`GCF z_pSX>erhIAyT|V#g+3~%h_Ij#K+z}-2!m=Qh~2XD{p$psk(?pu6!CdS8D~gKyDIzR2aXA}8{j2k*f@S$`MRzy}ba!`amI`2DvtH)-9jvtr z&y^kmzq}PYj$8SgHhncw)hlv)WW3tM+pl{E#O5M3-z^($LU#UHF5i@} zsCU=HBPlnv{3gq66S3#n#csM<_O8mlDdH`JC>R0&t1t<|m!loNNdC*3bj z1s_0*n`+BWb>h=IkI|uws62fY&tbi9u&VLyYbkvBPq=AZN*lzJjAc1Kt+SBL(DVsJ zO6HOFTb-%ym^;-OE0GVgpF}z;_FGI-lYgeVAY`|_ebW^4W*z)*b~M?YU)0i(f5vG* zu-cS8(b_IH;~z(V#$HMLvDKW=k$*ys41MBOu3N=A@^OS#Amh(&iQu*Ge_2eL~#YPcFoxSo_A^SXDHHO@~@`~ z#wo%D%V;6leOelYjtV=>AOVU<4M<(m<*?Sk0$KYVDXE4ECKshH*BzQ3u8OnH+Wpb4 z-fOYsX^V2+CbjK|VCEx0&3+RFAxan!`nH!NDRVNU7RZ3JLGo@<)Q$`w4F&HC@ld;G zHIDGs`@@HSO%f#@JEk;T7H3jcTDz#=%OOhDP~r-iUCI;Fwl!A|yWvQ;M{@0nI}#g} zF0ZppW}Xh`A=&f+DX8k3&o9&5uBZ-SjD;LVv1|d9kdC^3cbXE*HPo-nJ*jv29xqIg zOB7)s?wD85a`60#mIPB$FPoqS5A7y|nfq0|mnMHLYch37#0#J~t>dfKniB9= zzGdC|*5LwRgg?IZbH_q^y5%FT@Onk%nze@@DcaH3Y;WKECVY4Oi95b&>N|8^_KvN9 z`6H}x93ma6y+ty~O8#0bAmNRnU+tP60Eyzp1*-o4vYgEQrOu zzP5GYDa%P`oN6jb1zOhHp%$OHF5o1bD!9yg*6h_ipAu4qwio6-Kc=4d`=G3lMa)4A zYW_Vd?TUXN!^~307rG0-m$Lk=jZ*aq61kR2A>NgrPwGbddS9nqSFF+u8b5NITKIzB zU%H(Ri;OTpe)jcQE4!UXa-9gNe&|$|Uj!P~=wn;yFo*N0*7q_}4g5@P2&=n(E_4xt z--*Pc8&l_WA@Mo|3VRp#Nti@r)xb#SSf_uy|!gfixRcG(NdCj^~jfg)?n=> z?NZ+VSJk!0Grj+Rr+(q#h zqs%26lZ|qYqe3cSoBK#bu_Z?`We)Ruede6=d;I?C@hI8G`}KLfp0DTY`Fg%H)2qO} z6U-xE1KO>5<$sOo2SdV88K~hi9mt694*(QUdKu%vJ#GHslvzX{ z=YHC$UsP)mPK$WB57_-Wr%4LQSFNz{gk?lPAsP(p&Vm=%O&BVxB`sBAWZJe=mOWnm zG;Xj=40)`3Sf5NYtO5Iyrd!qdvby8OEo$ttC;)H!KiWhLn>G@FE`Usi47GKJ&ywI8 zp&&};mt*{8P2(TwQE>C$F*ulAq53z7gtJ8{m9Xora#|VjCKiJTNv<$c+WbT9I--89mJdFQv zhYXWVNp?43j&s{t`NHVXh+TTUA;(xr{dnoey$V^wdUm6Q?b7MY^`ic&YtWK!MhEn(EcA9~iZe5&&wyXs?&2HKNCy}B_>K`| zwSRi36uf^~omL7+AV9QGzH%$VaTl^pp3uP*!>s#YYW5L49pE9{v*4z2gKYBqBO&xt z<%s>&_ZqfWR}@0D&(LCb0deOOEIm)C$8IphN)d~nDCUFWICHUw-D{c#e^r$mif@jb4XP~rvs zyQ{;)D>f)5S8im$7`U=^ePvB1W|`(?lbJPK($txY$HQ*F1RW#h1Cehl9r)F#AS!MaYsTj4EhlKi6y9#SWP=Az?;cjjkc#H@PsA=FxPaAB`Mi1Ju>djil^ruVOa)@@t z7(H9&%M7VoLTI!e%9EAL*r1lqRY9m%rteWdj-2{dIGUX8>X}3Z$e@mimFEc_-ph0!Ur3PnVoC z8*y5=)_%MFp57)G*iiE#q}Sp=#4^)0DP@IVC7d*4ZdAT_JShyfSMqIA{bkE=*nHi@ zjW`9Y&GXen@)4s8LGaikSA|@C)p@ugZrBsHe>U(qx07m0%3xP%A`#Il;6O}#wT)D? zHB*Qr=t#;2Ma9Q)xBud{B$hSp^BE?Aqg?h@D6C4>-yz!F!~F(N800bAMxqqEul;hS ziYx??Q5|s@NHjN+{8H|Ldrf>x$HJ+ii@z6V_s|U2Hr&}q@55dj@>OMzrk%%H-g~Ll zB}KjZq`SSrto9AMQ_eITw@+fboaYdZra7e^B%`&1K0&1gdjHF^1df{!Fd_3X@u{a= zMszr$sQ_P|_W>feW?tG4SgU7!KU|{EyWNm^oxi&V_Usy)mW~tqP5|!+7d`eIwK#y*-9vht2d1@E0yg0bVFtiR`eHNucX&$ zb|kpa%RW8gzaS+tr8Yhz^^ZA}WdGr7{DhOV*&I_c-tn_4?q}o) z7()kL;#>t6R&x$lxva$>s7=Za6o9hy+Q3IxZ#oTrw&b^PLrQCmtIu1E7ZP!v&{u=~ zEY6Dx52W995WDb^aVGsTnerxXo>$J3_pnpe_MGi5mG0A2yr(?O{t7Pde=RHgvf+9x8la4`KL02Et0Gb^dl67*vwN5`qt%&h` zW>0NVJr)N7y(bvpp(a+%iQ$JG#4hKdmj3S&284G*|FOki#}1xDJGY|xZPMQ{+BMtb zzBmmf9upYjmH=YO^B;3rVHelQ-SsnrL4Js_P{N+XA6toW(WiTySrna{8i9P zd(BXB&Ksb${t@9Khq|J0&xPWO?k6Qvo@2}@^n42m{ZwM*BYSXR!BXaEpcnBytH{5j z9Ldy4iIDv?v>6rVD#g{Afx@JllhS-}mq@=NF+K^-8L!44KHMH2B!-fY=nKoPcy-|6 zE!Lzjs+2=F3%eC3EbIh`pQCi4w~|jWI9uz~S0gN(Ivu~#*8wd(y%i4C<5f~-uAOp) z$j)!vBcz{*k_rmyw;)TE%TgexEw``kF*PH@2pg)bh;1T7+I5%MiHpcSvh57&4pL#j zm`F6aIj$Mf2$D-ZG zggx<~=|1OJh(9IwF|QB8O7SSI1YosSE-^IXKQ@alnSeBW`Yq{LSmEmkT8cm7PnLMA z4?@kvF=qp)r|dOfORx{YlL$IyK!vff;&C~;f8F~7_2!~)cEmn!`Ld_jnu5DfkG*5K z=G3cVxK7Q4fDC{jGGXgR$xp&7Ux5%u^4;|6VW<=Zgb0>n_X)inc5^#%fSgH5pJ@dj zk|A?3d!0oOqaqYL7pPcnNzw#ULOKfMNJ>IDn{e!(Bm9TEGpcAsDA_& z_;>cN)Vm46d7<9OB})TcWE6$d)Jn`TrP$dUgXMXQc6bt+#~y$xw$ynb2|%(%y62Qo z9&;jT?2}|LPx*zZ%cBcQ00_M(0^><2S8$In@u)7UH@l|0eY&AIY}W9e#Mtj?i;oZD z*KmtW7H6p+|Ce(?ayq>D{?0boy<*=!P=$r{UPv{b0Fko!^Qlw?C^miC#**R;%5Uge zQ=(mjqP<YYZ4+Vuke^GL*oO37cianVcC2E)k1d=gv>p|)aUcWV zbf1225Oh3kemQ-TGzYRf;ZFwfS1b+{!v>E${RI5BH>@Pg(F>PjeM4Li)Hz-Ed{^4z zluo%?Pf7F9FX&uOE5*jY!~rl9al`D+T}QUlUtEi%y?OEy)>+`q<~Ks}Tg3>PH@hN% zNx9=k&>(^SuF~N`R$*8>6wxZ|vKOQ8vx_|0_3MjoxaWZUNSEZn$njnNP)>jdfvO2o z0}wEcc56K)=q;-BX5^X21=kzd3X`9asqbhxbWd+)2dw058PX>-E#Od8(b7(wHlvy99VB1!8y@%^FMc*KQlv%ZHPVoNB(nlzRbyMxRjP|Q zF+C0cVus5#9ltG$G^?wCaH7c)55h*ns->!^8 z_@nu+{29PuM}2gV&G+Ci6Mc{9Dp-pcYWNy9$J3)v^sw{Y8Bu8IwNS!sXW)b1s^^3X}RIJ!De4|B`|JWRslkhST zZQr{@p02^4Z9(0)Elb)eM=z*~T{#?{vFli?ZTBp$YT;z!q4jnSu(JgxSJRM(6G6J@ z&BL-kM8JM}5MGdl(H5ea+JONQC(aaha}3BQcH`h4Lc4QWMOLu);STzpfN|PW0-Y6p zSj>FRIm$H)@(1TpM@L%Orx0hq!AS~q(gCClCbMH$RaNt7LKIE|)Y%=W5ZsF@9hWpW zMadMQJ>el?IXMKYu-JVI7?5BBg%>_eJqTosAf3bT^4h7EbsrKM;29@s$(<~PDZ0l$zD3j=0R`g=*@E{yIDy( zeXFz(>Cyk|V+B(SgOg+~G`6-gL7zE$#xE#DGW@sjTvQrssb=_qAnKC0N6r)AAfiOs z1>}C%1omF)Bamid{|UeBaJk|#`4Ch-q#dPph>8{lM*M7Vkp0sA~&~r0Lc^c?@ zDB!FJGYX?`6?LSdGcH~`h7NaOEB2gYBj1Tv-jOK%tqG0)0jCc?EA*W1wxBSMw%*QG z{1-e=>L`TV-F2}(=Yc2y!K5{ySIbF*%>#;qyfou>3TV%XfPSwy;;Jrw&j|vGg~-^9 z8aqTq#FaIC_+&s&{8ps}JtA{$U7OL7TLvAekyqMC-Wwv|1AsqG6?gz3CXN52NrZ~c zF&u@7oghkQ!Q)jN%OGd~v!-I30sD&^=hn9T5$wdh!gA-FH~oQvBXz#CJnlJWs|yJt z=Gso9j4zjlg}Lx{Fzr|#KfpjBR=8>{)E?kOcyf0Jt{nQ*Zl+`e=}enJjk^YbH$utP z0uui!7&fT>jxx|Z)|9}07EEoI$4A(+VK4i`1Dux4j{rxR5g8plZ$8Wh=f>F%~({~g2a@1@^PmA z5-2inDFm-anRa0X#+FV~jy+pu9DBD>br{QTO!o10m|qP)F8h?I*1NlE+(png9whUl zGoVd9Qp3eI#^{OWA$}KCrglYE1q_WMs0>mXnJ{@l@!Of~n@Bvzfl#8&$b8rhKPu_e z&HC{Pt@!?=(Yn%q1QEDpb+d~1ucqhcyVBF4a4zMoRo~XTWXa}zH7fp&vEuE3#+<() z4aYtc^=GnARaxAnop?P0kB0==>;W^)a?9}5mL35TZeMr;kXkseyFIbQ=n}+sSXR!PLKavY zTWj%9+c}2#ZUe29uGylAc1|H;F6!rdz^DCDD}_z4IBw-S_MG@J;$rRg?2W#v0H0QX zpArZ5Ei0$gu0yX{UQ{|*B=tQefL<~^l8=ZOX~PHq*m!6^*DN*`C=TyFySu2#!{Vk7 z#GsdJxMx+<-)HlvIMTgQ3Qjvavaq)TeKq+&+P#fdKvdeznrb z+rah-B;F9?9%BoBKEQGGlPat=-1~@`M9H9ECitN4L*-&e7y_Sv6kma~A+a)DgHZ+@BX&NdY z2Wnh7`FpXb;#_>M`~&GK@kHsRV`1r~y~{^@44!p+$w?ki0F6;#x!{-HsueF*93pW# zKptneoU>l>DCR;LVN2lMl{xt#THZ&Mc zu{*Eq0w67&XhJ^{kQP?iu%sa1LPV_Lv~ZesiA za=ZGUsuoq=osn@u6Ei$JW&nMSMdK5j6CTQyQ;&(DxyOSHgEa!Or#y&{)75881T5vH zEt13c&SpmdRQS8_ftxJYSj087F$KOmDmUoMzATkQFaXGUb4&V!vHS^wvs};HP;kL> z-!&FUR(te}ttCR|mJ1*YQ5gHR5MU;QyuM#z>DYo7%s}CsW_~|{7Bg0c1G8YZa$n6U z5f7C4sPNDsaWHwdMMeci1+;DsCQoh;D2|6|#l0qvH@VLO-~DRK&yP0L>&CTPZW zBBj-m`Hg`0>F_@Kgbo>jiJm5mEoggFR~Vr)i>3N55b?Z;h-&gcgu6Y!*nG%zycTvK8x%3z?@SurdcL#jk)^$^X~^tJ>Z7ZsOYklG ztH$v(#H?k?#@Vckf`{aI|E&61V~n>L!CQ0?fh5~zhP6M*3zy49y)tacCzB#$TX__i ziovg;#Qwxev67QoU9N67w<4?LpfOFpIt)_K_%}5vfUfV1K^x@QCBLBfi;`jAJ@Mo- z4`S2A@h1E>0VLf5Gz3x?gtSNK$8%JxZ=fAT9^%imTg^O+tr7yxF9D$T2=sLbHnOcq z%31eueBN_TLJCl}g2@>~EXS4YLG5WZv7P^-0L*PyC78NcUxsnt21C`a-gJZ9PwL~n zQcr=0CXpfaIhjXEJ~+8R8w9eAm^;(CkIx+_5Yw!8_oSa&I@^L({@M(S`9uF=CM7R$ zrn4sJc!0#pKcn*{@+sGuo%PGtcG?qEr|FhRSr7KisD_dCe3^m^sJ!N$|~en}d~OimlS<2*+gmj#hXn8D}E3A4W&& zK8(#dW3JgED(()_5lv15pD004G1oYn_(Oem!&tE|ti&c7Sl9#9gs0GiP|vqn=@ube zcgI7!tkYF1(P%xta$0P{<(jp&yl@oys^u|}tr0Nkka!1uey@Lb0}-UIH7^|5{podX zznG_6@UASum0X2wlLmyfbd}a0|5>1#l_KCj(dKCBP{*`n+@YXTm)RDqCj@a+a8DY| z7YmDB88jNeEP#dkHk@>XdIvgMEhGu|dr(o)k2KPMb z(!v^yYRfw-SPod;2z8W8MF*~Z2Mq!gpdA!+!6zy T3<{a3>kl)o=#hY`x7h!`GGir+ literal 0 HcmV?d00001 diff --git a/webcit/static/webcit.css b/webcit/static/webcit.css index 71d8951f9..9ed1ee29c 100644 --- a/webcit/static/webcit.css +++ b/webcit/static/webcit.css @@ -9,6 +9,7 @@ body { height: 100%; overflow: auto; background-color: #D8D8D8; + //background-image:url(/static/gradient_background.jpg); color: #000000; font-weight: normal; font-size: 10pt; diff --git a/webcit/webcit.h b/webcit/webcit.h index e4ae3b7d7..2e1a006cf 100644 --- a/webcit/webcit.h +++ b/webcit/webcit.h @@ -123,10 +123,10 @@ extern locale_t wc_locales[]; #define SLEEPING 180 /* TCP connection timeout */ #define WEBCIT_TIMEOUT 900 /* WebCit session timeout */ #define PORT_NUM 2000 /* port number to listen on */ -#define SERVER "WebCit v7.12" /* who's in da house */ +#define SERVER "WebCit v7.13" /* who's in da house */ #define DEVELOPER_ID 0 #define CLIENT_ID 4 -#define CLIENT_VERSION 712 /* This version of WebCit */ +#define CLIENT_VERSION 713 /* This version of WebCit */ #define MINIMUM_CIT_VERSION 710 /* min required Citadel ver. */ #define DEFAULT_HOST "localhost" /* Default Citadel server */ #define DEFAULT_PORT "504" -- 2.30.2